aboutsummaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorPo-Chuan Hsieh <sunpoet@FreeBSD.org>2023-07-31 20:09:03 +0000
committerPo-Chuan Hsieh <sunpoet@FreeBSD.org>2023-07-31 20:44:26 +0000
commit7054def60e110e7135829029dcbd0612b67d49a8 (patch)
treeeb3710d5dea9a3337df261b3a749e17aee661dad
parent6583e2c766878bebad4592ddc51fd1b78c983d7f (diff)
downloadports-7054def60e110e7135829029dcbd0612b67d49a8.tar.gz
ports-7054def60e110e7135829029dcbd0612b67d49a8.zip
lang/v: Update to 0.4
- Update WWW and clean up pkg-descr - Add maintainer section Changs: https://github.com/vlang/v/releases
-rw-r--r--lang/v/Makefile26
-rw-r--r--lang/v/distinfo10
-rw-r--r--lang/v/pkg-descr2
-rw-r--r--lang/v/pkg-plist624
4 files changed, 634 insertions, 28 deletions
diff --git a/lang/v/Makefile b/lang/v/Makefile
index 07dbf4b45f94..5f2fceb1ca5b 100644
--- a/lang/v/Makefile
+++ b/lang/v/Makefile
@@ -1,10 +1,11 @@
PORTNAME= v
-PORTVERSION= 0.3.4
+PORTVERSION= 0.4
CATEGORIES= lang
MAINTAINER= sunpoet@FreeBSD.org
COMMENT= V Programming Language
-WWW= https://vlang.io/
+WWW= https://vlang.io/ \
+ https://github.com/vlang/v
LICENSE= MIT
LICENSE_FILE= ${WRKSRC}/LICENSE
@@ -15,11 +16,8 @@ ALL_TARGET= v
LDFLAGS+= -lm
TEST_TARGET= check
-PORTDOCS= *
-PORTEXAMPLES= *
-
GH_ACCOUNT= vlang
-GH_TUPLE= vlang:vc:ec9b505:vc/vc
+GH_TUPLE= vlang:vc:02102e5:vc/vc
USE_GITHUB= yes
PORTSCOUT= skipv:weekly.*
@@ -45,4 +43,20 @@ post-install-EXAMPLES-on:
${MKDIR} ${STAGEDIR}${EXAMPLESDIR}
cd ${WRKSRC}/examples && ${COPYTREE_SHARE} . ${STAGEDIR}${EXAMPLESDIR}
+# maintainer section:
+
+MS_PLIST= ${WRKDIR}/.ms-pkg-plist
+
+genplist: do-clean extract
+ @${ECHO_CMD} "bin/v" > ${MS_PLIST}
+ @cd ${WRKSRC}/ && ${LS} *.md | ${SED} 's|^|%%PORTDOCS%%%%DOCSDIR%%/|' >> ${MS_PLIST}
+ @cd ${WRKSRC}/doc/ && ${FIND} -s . -type f | ${SED} 's|^\.|%%PORTDOCS%%%%DOCSDIR%%|' >> ${MS_PLIST}
+ @cd ${WRKSRC}/examples/ && ${FIND} -s . -type f | ${SED} 's|^\.|%%PORTEXAMPLES%%%%EXAMPLESDIR%%|' >> ${MS_PLIST}
+ @cd ${WRKSRC}/cmd/ && ${FIND} -s . -type f | ${SED} 's|^\.|%%DATADIR%%/cmd|' >> ${MS_PLIST}
+ @cd ${WRKSRC}/thirdparty/ && ${FIND} -s . -type f | ${SED} 's|^\.|%%DATADIR%%/thirdparty|' >> ${MS_PLIST}
+ @${ECHO_CMD} "%%DATADIR%%/v" >> ${MS_PLIST}
+ @${ECHO_CMD} "%%DATADIR%%/v.mod" >> ${MS_PLIST}
+ @cd ${WRKSRC}/vlib/ && ${FIND} -s . -type f | ${SED} 's|^\.|%%DATADIR%%/vlib|' >> ${MS_PLIST}
+ @${INSTALL} -m 600 ${MS_PLIST} ${PLIST}
+
.include <bsd.port.mk>
diff --git a/lang/v/distinfo b/lang/v/distinfo
index f6a87b934fca..4997df94da6e 100644
--- a/lang/v/distinfo
+++ b/lang/v/distinfo
@@ -1,5 +1,5 @@
-TIMESTAMP = 1682972160
-SHA256 (vlang-v-0.3.4_GH0.tar.gz) = 7e251dd1748d16090348da4a29abd85dfe8c48aebc47bd9c23e91ed18e0c5ba1
-SIZE (vlang-v-0.3.4_GH0.tar.gz) = 6714098
-SHA256 (vlang-vc-ec9b505_GH0.tar.gz) = 33b4c0fc33d2ff12d727cc8ba1bf5617793e5e7620e4d8ad8c2924c5d4d8f2a6
-SIZE (vlang-vc-ec9b505_GH0.tar.gz) = 1675356
+TIMESTAMP = 1690822940
+SHA256 (vlang-v-0.4_GH0.tar.gz) = 4999f7dd5db57ff172a8db993e9558b1fd2e326c137468f35ff33962f487cf57
+SIZE (vlang-v-0.4_GH0.tar.gz) = 6822216
+SHA256 (vlang-vc-02102e5_GH0.tar.gz) = 44b434688c6b228a13f561e1b466ff1f26e777ba61078eccf9f960c24c3baf7f
+SIZE (vlang-vc-02102e5_GH0.tar.gz) = 1723246
diff --git a/lang/v/pkg-descr b/lang/v/pkg-descr
index 67b8a66ea18a..16685bca308d 100644
--- a/lang/v/pkg-descr
+++ b/lang/v/pkg-descr
@@ -1,4 +1,2 @@
The V programming language is a simple, fast, safe, compiled language for
developing maintainable software.
-
-See also: https://github.com/vlang/v
diff --git a/lang/v/pkg-plist b/lang/v/pkg-plist
index 501125d1d837..0000b2849d8b 100644
--- a/lang/v/pkg-plist
+++ b/lang/v/pkg-plist
@@ -1,4 +1,386 @@
bin/v
+%%PORTDOCS%%%%DOCSDIR%%/CHANGELOG.md
+%%PORTDOCS%%%%DOCSDIR%%/CODE_OF_CONDUCT.md
+%%PORTDOCS%%%%DOCSDIR%%/CONTRIBUTING.md
+%%PORTDOCS%%%%DOCSDIR%%/README.md
+%%PORTDOCS%%%%DOCSDIR%%/ROADMAP.md
+%%PORTDOCS%%%%DOCSDIR%%/TESTS.md
+%%PORTDOCS%%%%DOCSDIR%%/docs.md
+%%PORTDOCS%%%%DOCSDIR%%/img/vscode-debugger.png
+%%PORTDOCS%%%%DOCSDIR%%/upcoming.md
+%%PORTDOCS%%%%DOCSDIR%%/vscode.md
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/.gitignore
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/2048/.gitignore
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/2048/2048.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/2048/LICENSE
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/2048/README.md
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/2048/demo.png
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/2048/v.mod
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/asm.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/assets/fonts/Graduate-Regular.ttf
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/assets/fonts/Imprima-Regular.ttf
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/assets/fonts/LICENSE
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/assets/fonts/OFL.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/assets/fonts/RobotoMono-Regular.ttf
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/assets/logo.png
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/binary_search_tree.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/brainvuck.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/bst_map.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/buf_reader.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/c_interop_wkhtmltopdf.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/call_v_from_c/.gitignore
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/call_v_from_c/README.md
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/call_v_from_c/test_math.c
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/call_v_from_c/test_print.c
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/call_v_from_c/v_test_math.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/call_v_from_c/v_test_print.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/call_v_from_python/README.md
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/call_v_from_python/test.py
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/call_v_from_python/test.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/cli.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/clock/clock.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/compiletime/methods.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/compiletime/reflection.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/concurrency/concurrency.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/concurrency/concurrency_http.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/concurrency/concurrency_returns.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/control_thread_stack_size.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/coroutines/simple_coroutines.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/custom_error.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/database/mysql.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/database/orm.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/database/psql/.gitignore
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/database/psql/customer.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/database/psql/mydb.sql
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/database/sqlite.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/dump_factorial.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/dynamic_library_loader/modules/library/library.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/dynamic_library_loader/use.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/dynamic_library_loader/use_test.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/dynamic_library_loading/modules/library/library.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/dynamic_library_loading/use.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/dynamic_library_loading/use_test.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/errors.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/eventbus/eventbus.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/eventbus/modules/some_module/some_module.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/fetch.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/fibonacci.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/file_list.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/fireworks/fireworks.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/fireworks/modules/objects/color.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/fireworks/modules/objects/constants.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/fireworks/modules/objects/particle.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/fireworks/modules/objects/rocket.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/fireworks/modules/objects/vector.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/fizz_buzz.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/flappylearning/.gitignore
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/flappylearning/LICENSE
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/flappylearning/README.md
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/flappylearning/assets/img/background.png
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/flappylearning/assets/img/bird.png
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/flappylearning/assets/img/flappy.png
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/flappylearning/assets/img/pipebottom.png
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/flappylearning/assets/img/pipetop.png
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/flappylearning/game.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/flappylearning/modules/neuroevolution/neuronevolution.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/function_types.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/game_of_life/README.md
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/game_of_life/life.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/game_of_life/life_gg.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/game_of_life/modules/automaton/automaton.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/get_weather/README.md
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/get_weather/get_weather.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/gg/additive.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/gg/arcs_and_slices.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/gg/bezier.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/gg/bezier_anim.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/gg/cursor.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/gg/drag_n_drop.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/gg/draw_pixels.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/gg/mandelbrot.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/gg/polygons.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/gg/random.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/gg/raven_text_rendering.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/gg/rectangles.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/gg/rotating_textured_quad.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/gg/stars.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/gg/worker_thread.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/graphs/bellman-ford.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/graphs/bfs.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/graphs/bfs2.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/graphs/dfs.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/graphs/dfs2.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/graphs/dijkstra.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/graphs/minimal_spann_tree_prim.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/graphs/topological_sorting_dfs.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/graphs/topological_sorting_greedy.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/hanoi.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/hello_v_js.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/hello_world.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/hot_reload/.gitignore
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/hot_reload/bounce.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/hot_reload/graph.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/hot_reload/message.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/http_server.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_cube/README.md
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_cube/cube.js.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_cube/index.html
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw/README.md
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw/draw.js.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw/index.html
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_bechmark_chart/README.md
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_bechmark_chart/chart/.gitignore
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_bechmark_chart/chart/Dockerfile
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_bechmark_chart/chart/README.md
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_bechmark_chart/chart/draw.js.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_bechmark_chart/chart/favicon.ico
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_bechmark_chart/chart/main.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_bechmark_chart/chart/templates/controller/get/all/task.html
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_bechmark_chart/typescript_vanilla_typeorm/.gitignore
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_bechmark_chart/typescript_vanilla_typeorm/package.json
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_bechmark_chart/typescript_vanilla_typeorm/src/index.ts
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_bechmark_chart/typescript_vanilla_typeorm/src/server.js
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_bechmark_chart/typescript_vanilla_typeorm/tsconfig.json
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_bechmark_chart/v_vweb_orm/src/main.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/json.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/lander.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/linear_regression/simple_linear_regression.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/links_scraper.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/log.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/logfatal.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/macos_tray/icon.png
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/macos_tray/tray.m
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/macos_tray/tray.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/macos_tray/v.mod
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/mini_calculator.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/native/hello_world.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/nbody.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/net_failconnect.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/net_peer_ip.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/net_raw_http.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/net_resolve.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/net_t.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/net_udp_server_and_client.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/news_fetcher.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/password/correct.expect
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/password/incorrect.expect
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/password/password.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/password/password_ci.vsh
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/path_tracing.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/pendulum-simulation/.gitignore
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/pendulum-simulation/LICENSE
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/pendulum-simulation/README.md
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/pendulum-simulation/animation.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/pendulum-simulation/full.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/pendulum-simulation/modules/sim/anim/app.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/pendulum-simulation/modules/sim/anim/worker.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/pendulum-simulation/modules/sim/args/parser.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/pendulum-simulation/modules/sim/img/ppm.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/pendulum-simulation/modules/sim/img/worker.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/pendulum-simulation/modules/sim/img/writer.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/pendulum-simulation/modules/sim/log.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/pendulum-simulation/modules/sim/params.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/pendulum-simulation/modules/sim/params_test.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/pendulum-simulation/modules/sim/runner.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/pendulum-simulation/modules/sim/sim.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/pendulum-simulation/modules/sim/sim_test.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/pendulum-simulation/modules/sim/vec.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/pendulum-simulation/modules/sim/vec_test.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/pendulum-simulation/modules/sim/worker.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/pendulum-simulation/modules/sim/worker_test.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/pendulum-simulation/parallel.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/pendulum-simulation/parallel_with_iw.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/pendulum-simulation/sequential.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/pico/pico.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/process/.ignore
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/process/command.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/process/execve.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/process/process_script.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/process/process_stdin_trick.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/quadtree_demo/quadtree_demo.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/quick_sort.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/random_ips.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/readline/correct.expect
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/readline/readline.vsh
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/readline/readline_ci.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/regex/pcre.vv
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/regex/readme.md
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/regex/regex_example.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/regex/regex_with_memoization.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/rule110.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/rune.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/smtp/mail.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/snek/README.md
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/snek/index.html
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/snek/snek.js.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/snek/snek.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/.gitignore
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/01_cubes/cube.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/02_cubes_glsl/cube_glsl.glsl
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/02_cubes_glsl/cube_glsl.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/02_cubes_glsl/v.mod
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/03_march_tracing_glsl/rt_glsl.glsl
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/03_march_tracing_glsl/rt_glsl.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/03_march_tracing_glsl/v.mod
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/04_multi_shader_glsl/rt_glsl.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/04_multi_shader_glsl/rt_glsl_march.glsl
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/04_multi_shader_glsl/rt_glsl_puppy.glsl
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/04_multi_shader_glsl/v.mod
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/05_instancing_glsl/rt_glsl.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/05_instancing_glsl/rt_glsl_instancing.glsl
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/05_instancing_glsl/v.mod
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/06_obj_viewer/assets/models/v.mtl
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/06_obj_viewer/assets/models/v.obj_
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/06_obj_viewer/gouraud.glsl
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/06_obj_viewer/modules/obj/obj.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/06_obj_viewer/modules/obj/rend.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/06_obj_viewer/modules/obj/struct.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/06_obj_viewer/modules/obj/util.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/06_obj_viewer/show_obj.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/06_obj_viewer/v.mod
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/drawing.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/fonts.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/freetype_raven.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/particles/modules/particle/LICENSE
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/particles/modules/particle/color.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/particles/modules/particle/particle.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/particles/modules/particle/system.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/particles/modules/particle/v.mod
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/particles/particles.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/simple_shader_glsl/.gitignore
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/simple_shader_glsl/simple_shader.glsl
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/simple_shader_glsl/simple_shader.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/simple_shader_glsl/v.mod
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/sounds/melody.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/sounds/simple_sin_tones.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/sounds/uhoh.wav
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/sokol/sounds/wav_player.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/spectral.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/submodule/main.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/submodule/mymodules/main_functions.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/submodule/mymodules/submodule/sub_functions.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/submodule/v.mod
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tcp_echo_server.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tcp_notify_echo_server.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/templates/data.json
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/templates/readme.md
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/templates/template.md
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/templates/templates.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/term.ui/README.md
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/term.ui/cursor_chaser.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/term.ui/event_viewer.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/term.ui/pong.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/term.ui/rectangles.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/term.ui/screenshot_pong.png
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/term.ui/term_drawing.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/term.ui/text_editor.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/term.ui/vyper.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/terminal_control.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tetris/README.md
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tetris/index.html
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tetris/screenshot.png
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tetris/tetris.js.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tetris/tetris.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/toml.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/tree_of_nodes.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/ttf_font/example_ttf.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/v_script.vsh
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vcasino/README.md
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vcasino/vcasino.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/viewer/LICENSE
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/viewer/README.md
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/viewer/file_scan.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/viewer/v.mod
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/viewer/view.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/viewer/zip_container.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vmod.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vpwgen.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/file_transform/index.html
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/file_transform/sample_input.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/file_transform/upload.html
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/file_transform/vweb_example.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/file_upload/index.html
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/file_upload/submit.html
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/file_upload/upload.html
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/file_upload/vweb_example.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/footer.html
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/header.html
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/index.html
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/middleware/templates/base.html
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/middleware/templates/early.html
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/middleware/templates/index.html
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/middleware/templates/secret.html
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/middleware/vweb_example.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/server_sent_events/assets/site.css
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/server_sent_events/assets/v-logo.svg
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/server_sent_events/favicon.ico
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/server_sent_events/index.html
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/server_sent_events/server.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/vweb_assets/assets/index.css
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/vweb_assets/assets/v-logo.svg
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/vweb_assets/favicon.ico
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/vweb_assets/index.html
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/vweb_assets/vweb_assets.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/vweb_example.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_fullstack/.editorconfig
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_fullstack/.gitattributes
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_fullstack/.gitignore
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_fullstack/LICENSE
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_fullstack/readme.md
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_fullstack/src/assets/favicon.ico
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_fullstack/src/assets/v-logo.svg
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_fullstack/src/assets/veasel.png
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_fullstack/src/auth_controllers.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_fullstack/src/auth_dto.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_fullstack/src/auth_services.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_fullstack/src/databases/config_databases_sqlite.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_fullstack/src/index.html
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_fullstack/src/main.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_fullstack/src/product_controller.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_fullstack/src/product_entities.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_fullstack/src/product_service.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_fullstack/src/product_view.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_fullstack/src/product_view_api.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_fullstack/src/templates/header_component.html
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_fullstack/src/templates/products.css
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_fullstack/src/templates/products.html
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_fullstack/src/user_controllers.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_fullstack/src/user_entities.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_fullstack/src/user_services.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_fullstack/src/user_view_api.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_fullstack/src/v.mod
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_orm_jwt/.editorconfig
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_orm_jwt/.gitattributes
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_orm_jwt/.gitignore
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_orm_jwt/src/auth_controllers.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_orm_jwt/src/auth_dto.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_orm_jwt/src/auth_services.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_orm_jwt/src/databases/config_databases_sqlite.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_orm_jwt/src/main.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_orm_jwt/src/user_controllers.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_orm_jwt/src/user_entities.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_orm_jwt/src/user_services.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_orm_jwt/src/v.mod
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/wasm/.gitignore
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/wasm/functions.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/wasm/hello_world.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/wasm/mandelbrot/README.md
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/wasm/mandelbrot/mandelbrot.html
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/wasm/mandelbrot/mandelbrot.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/wasm_codegen/add.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/wasm_codegen/control_flow.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/wasm_codegen/factorial.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/wasm_codegen/functions.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/wasm_codegen/hello_wasi.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/wasm_codegen/memory.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/web_crawler/README.md
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/web_crawler/web_crawler.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/websocket/client-server/client.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/websocket/client-server/server.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/websocket/ping.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/word_counter/README.md
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/word_counter/cinderella.txt
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/word_counter/word_counter.v
%%DATADIR%%/cmd/tools/bench/wyhash.v
%%DATADIR%%/cmd/tools/builders/c_builder.v
%%DATADIR%%/cmd/tools/builders/golang_builder.v
@@ -126,6 +508,8 @@ bin/v
%%DATADIR%%/cmd/tools/vvet/tests/array_init_one_val.vv
%%DATADIR%%/cmd/tools/vvet/tests/brackets_in_documentation_comment_no_warn.out
%%DATADIR%%/cmd/tools/vvet/tests/brackets_in_documentation_comment_no_warn.vv
+%%DATADIR%%/cmd/tools/vvet/tests/documentation_for_generic_methods.out
+%%DATADIR%%/cmd/tools/vvet/tests/documentation_for_generic_methods.vv
%%DATADIR%%/cmd/tools/vvet/tests/indent_with_space.out
%%DATADIR%%/cmd/tools/vvet/tests/indent_with_space.vv
%%DATADIR%%/cmd/tools/vvet/tests/module_file_test.out
@@ -498,6 +882,7 @@ bin/v
%%DATADIR%%/thirdparty/mbedtls/library/x509write_csr.c
%%DATADIR%%/thirdparty/mssql/include/.gitignore
%%DATADIR%%/thirdparty/mssql/include/mssql.h
+%%DATADIR%%/thirdparty/photon/photonwrapper.h
%%DATADIR%%/thirdparty/picoev/picoev.c
%%DATADIR%%/thirdparty/picoev/src/README.md
%%DATADIR%%/thirdparty/picoev/src/picoev.h
@@ -518,6 +903,7 @@ bin/v
%%DATADIR%%/thirdparty/sokol/util/sokol_fontstash.h
%%DATADIR%%/thirdparty/sokol/util/sokol_gl.h
%%DATADIR%%/thirdparty/stb_image/stb_image.h
+%%DATADIR%%/thirdparty/stb_image/stb_image_resize.h
%%DATADIR%%/thirdparty/stb_image/stb_image_write.h
%%DATADIR%%/thirdparty/stb_image/stb_v_header.h
%%DATADIR%%/thirdparty/stb_image/stbi.c
@@ -691,6 +1077,7 @@ bin/v
%%DATADIR%%/vlib/context/onecontext/onecontext_test.v
%%DATADIR%%/vlib/context/value.v
%%DATADIR%%/vlib/context/value_test.v
+%%DATADIR%%/vlib/coroutines/coroutines.v
%%DATADIR%%/vlib/crypto/README.md
%%DATADIR%%/vlib/crypto/aes/aes.v
%%DATADIR%%/vlib/crypto/aes/aes_cbc.v
@@ -778,6 +1165,8 @@ bin/v
%%DATADIR%%/vlib/crypto/sha512/sha512_test.v
%%DATADIR%%/vlib/crypto/sha512/sha512block_generic.v
%%DATADIR%%/vlib/datatypes/README.md
+%%DATADIR%%/vlib/datatypes/bloom_filter.v
+%%DATADIR%%/vlib/datatypes/bloom_filter_test.v
%%DATADIR%%/vlib/datatypes/bstree.v
%%DATADIR%%/vlib/datatypes/bstree_test.v
%%DATADIR%%/vlib/datatypes/doubly_linked_list.v
@@ -982,6 +1371,7 @@ bin/v
%%DATADIR%%/vlib/json/json_alias_test.v
%%DATADIR%%/vlib/json/json_decode_test.v
%%DATADIR%%/vlib/json/json_decode_with_encode_arg_test.v
+%%DATADIR%%/vlib/json/json_decode_with_generic_array_test.v
%%DATADIR%%/vlib/json/json_decode_with_generic_test.v
%%DATADIR%%/vlib/json/json_decode_with_option_arg_test.v
%%DATADIR%%/vlib/json/json_decode_with_sumtype_test.v
@@ -1018,6 +1408,7 @@ bin/v
%%DATADIR%%/vlib/math/big/big_test.v
%%DATADIR%%/vlib/math/big/division_array_ops.v
%%DATADIR%%/vlib/math/big/division_array_ops_test.v
+%%DATADIR%%/vlib/math/big/exponentiation.v
%%DATADIR%%/vlib/math/big/integer.v
%%DATADIR%%/vlib/math/big/large_number_power_and_string_conversion_test.v
%%DATADIR%%/vlib/math/big/min_max.v
@@ -1132,9 +1523,8 @@ bin/v
%%DATADIR%%/vlib/net/address_windows.c.v
%%DATADIR%%/vlib/net/afunix.h
%%DATADIR%%/vlib/net/common.v
-%%DATADIR%%/vlib/net/conv/c_default.c.v
-%%DATADIR%%/vlib/net/conv/c_windows.c.v
-%%DATADIR%%/vlib/net/conv/conv.c.v
+%%DATADIR%%/vlib/net/conv/README.md
+%%DATADIR%%/vlib/net/conv/conv.v
%%DATADIR%%/vlib/net/conv/conv_test.v
%%DATADIR%%/vlib/net/errors.v
%%DATADIR%%/vlib/net/ftp/ftp.v
@@ -1377,11 +1767,15 @@ bin/v
%%DATADIR%%/vlib/readline/readline_windows.c.v
%%DATADIR%%/vlib/regex/README.md
%%DATADIR%%/vlib/regex/regex.v
+%%DATADIR%%/vlib/regex/regex_anchor_test.v
%%DATADIR%%/vlib/regex/regex_complex_test.v
%%DATADIR%%/vlib/regex/regex_opt.v
%%DATADIR%%/vlib/regex/regex_test.v
%%DATADIR%%/vlib/regex/regex_util.v
%%DATADIR%%/vlib/runtime/README.md
+%%DATADIR%%/vlib/runtime/free_memory_impl_darwin.c.v
+%%DATADIR%%/vlib/runtime/free_memory_impl_default.c.v
+%%DATADIR%%/vlib/runtime/free_memory_impl_linux.c.v
%%DATADIR%%/vlib/runtime/runtime.v
%%DATADIR%%/vlib/runtime/runtime_nix.c.v
%%DATADIR%%/vlib/runtime/runtime_test.v
@@ -1570,6 +1964,7 @@ bin/v
%%DATADIR%%/vlib/time/chrono.v
%%DATADIR%%/vlib/time/chrono_test.v
%%DATADIR%%/vlib/time/custom_format_test.v
+%%DATADIR%%/vlib/time/date_time_parser.v
%%DATADIR%%/vlib/time/duration_test.v
%%DATADIR%%/vlib/time/format.v
%%DATADIR%%/vlib/time/misc/misc.v
@@ -1670,6 +2065,7 @@ bin/v
%%DATADIR%%/vlib/v/ast/cflags.v
%%DATADIR%%/vlib/v/ast/cflags_test.v
%%DATADIR%%/vlib/v/ast/comptime_const_values.v
+%%DATADIR%%/vlib/v/ast/comptime_valid_idents.v
%%DATADIR%%/vlib/v/ast/embed_file.v
%%DATADIR%%/vlib/v/ast/init.v
%%DATADIR%%/vlib/v/ast/native.v
@@ -1705,7 +2101,6 @@ bin/v
%%DATADIR%%/vlib/v/checker/check_types.v
%%DATADIR%%/vlib/v/checker/checker.v
%%DATADIR%%/vlib/v/checker/comptime.v
-%%DATADIR%%/vlib/v/checker/constants/constants.v
%%DATADIR%%/vlib/v/checker/containers.v
%%DATADIR%%/vlib/v/checker/fn.v
%%DATADIR%%/vlib/v/checker/for.v
@@ -1732,6 +2127,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/alias_array_unknown_op_overloading_err.vv
%%DATADIR%%/vlib/v/checker/tests/alias_map_unknown_op_overloading_err.out
%%DATADIR%%/vlib/v/checker/tests/alias_map_unknown_op_overloading_err.vv
+%%DATADIR%%/vlib/v/checker/tests/alias_to_option_err.out
+%%DATADIR%%/vlib/v/checker/tests/alias_to_option_err.vv
%%DATADIR%%/vlib/v/checker/tests/alias_type_cast_option_result_unhandled_err.out
%%DATADIR%%/vlib/v/checker/tests/alias_type_cast_option_result_unhandled_err.vv
%%DATADIR%%/vlib/v/checker/tests/alias_type_exists.out
@@ -1747,6 +2144,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/anon_fn_arg_type_err.vv
%%DATADIR%%/vlib/v/checker/tests/anon_fn_without_body.out
%%DATADIR%%/vlib/v/checker/tests/anon_fn_without_body.vv
+%%DATADIR%%/vlib/v/checker/tests/anon_struct_assign_err.out
+%%DATADIR%%/vlib/v/checker/tests/anon_struct_assign_err.vv
%%DATADIR%%/vlib/v/checker/tests/anon_structs_visibility.out
%%DATADIR%%/vlib/v/checker/tests/anon_structs_visibility/amod/amod.v
%%DATADIR%%/vlib/v/checker/tests/anon_structs_visibility/main.v
@@ -1766,14 +2165,14 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/array_cmp_err.vv
%%DATADIR%%/vlib/v/checker/tests/array_contains_args_err.out
%%DATADIR%%/vlib/v/checker/tests/array_contains_args_err.vv
-%%DATADIR%%/vlib/v/checker/tests/array_decl_type_err.out
-%%DATADIR%%/vlib/v/checker/tests/array_decl_type_err.vv
%%DATADIR%%/vlib/v/checker/tests/array_declare_element_a.out
%%DATADIR%%/vlib/v/checker/tests/array_declare_element_a.vv
%%DATADIR%%/vlib/v/checker/tests/array_declare_element_b.out
%%DATADIR%%/vlib/v/checker/tests/array_declare_element_b.vv
%%DATADIR%%/vlib/v/checker/tests/array_declare_element_c.out
%%DATADIR%%/vlib/v/checker/tests/array_declare_element_c.vv
+%%DATADIR%%/vlib/v/checker/tests/array_delete_imut_err.out
+%%DATADIR%%/vlib/v/checker/tests/array_delete_imut_err.vv
%%DATADIR%%/vlib/v/checker/tests/array_delete_print_err.out
%%DATADIR%%/vlib/v/checker/tests/array_delete_print_err.vv
%%DATADIR%%/vlib/v/checker/tests/array_element_type.out
@@ -1794,6 +2193,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/array_index_args_err.vv
%%DATADIR%%/vlib/v/checker/tests/array_init_option_err.out
%%DATADIR%%/vlib/v/checker/tests/array_init_option_err.vv
+%%DATADIR%%/vlib/v/checker/tests/array_init_ptr_non_ptr_elem_err.out
+%%DATADIR%%/vlib/v/checker/tests/array_init_ptr_non_ptr_elem_err.vv
%%DATADIR%%/vlib/v/checker/tests/array_init_sum_type_without_init_value_and_len_err.out
%%DATADIR%%/vlib/v/checker/tests/array_init_sum_type_without_init_value_and_len_err.vv
%%DATADIR%%/vlib/v/checker/tests/array_init_with_void_value_err.out
@@ -1916,6 +2317,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/assign_generic_fn_err.vv
%%DATADIR%%/vlib/v/checker/tests/assign_immutable_reference_var_err.out
%%DATADIR%%/vlib/v/checker/tests/assign_immutable_reference_var_err.vv
+%%DATADIR%%/vlib/v/checker/tests/assign_immutable_reference_var_with_parenthesis_err.out
+%%DATADIR%%/vlib/v/checker/tests/assign_immutable_reference_var_with_parenthesis_err.vv
%%DATADIR%%/vlib/v/checker/tests/assign_multi_immutable_err.out
%%DATADIR%%/vlib/v/checker/tests/assign_multi_immutable_err.vv
%%DATADIR%%/vlib/v/checker/tests/assign_multi_mismatch.out
@@ -1946,6 +2349,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/bit_op_wrong_right_type_err.vv
%%DATADIR%%/vlib/v/checker/tests/blank_ident_invalid_use.out
%%DATADIR%%/vlib/v/checker/tests/blank_ident_invalid_use.vv
+%%DATADIR%%/vlib/v/checker/tests/blank_ident_select_branch_send_err.out
+%%DATADIR%%/vlib/v/checker/tests/blank_ident_select_branch_send_err.vv
%%DATADIR%%/vlib/v/checker/tests/blank_modify.out
%%DATADIR%%/vlib/v/checker/tests/blank_modify.vv
%%DATADIR%%/vlib/v/checker/tests/bool_string_cast_err.out
@@ -2024,6 +2429,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/comparing_typesymbol_to_a_type_should_not_compile.vv
%%DATADIR%%/vlib/v/checker/tests/compile_error.out
%%DATADIR%%/vlib/v/checker/tests/compile_error.vv
+%%DATADIR%%/vlib/v/checker/tests/comptime_assign_missing_mut_err.out
+%%DATADIR%%/vlib/v/checker/tests/comptime_assign_missing_mut_err.vv
%%DATADIR%%/vlib/v/checker/tests/comptime_branching_working_with_a_custom_compile_error.out
%%DATADIR%%/vlib/v/checker/tests/comptime_branching_working_with_a_custom_compile_error.vv
%%DATADIR%%/vlib/v/checker/tests/comptime_call_method.out
@@ -2063,6 +2470,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/const_array_unknown_type_err.vv
%%DATADIR%%/vlib/v/checker/tests/const_cycle_decl_err.out
%%DATADIR%%/vlib/v/checker/tests/const_cycle_decl_err.vv
+%%DATADIR%%/vlib/v/checker/tests/const_decl_multi_return_err.out
+%%DATADIR%%/vlib/v/checker/tests/const_decl_multi_return_err.vv
%%DATADIR%%/vlib/v/checker/tests/const_define_in_function_err.out
%%DATADIR%%/vlib/v/checker/tests/const_define_in_function_err.vv
%%DATADIR%%/vlib/v/checker/tests/const_expr_match_range_invalid_err.out
@@ -2113,10 +2522,20 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/decompose_type_err.vv
%%DATADIR%%/vlib/v/checker/tests/defer_in_for.out
%%DATADIR%%/vlib/v/checker/tests/defer_in_for.vv
+%%DATADIR%%/vlib/v/checker/tests/defer_use_multi_return_value_with_index_out_of_bounds.out
+%%DATADIR%%/vlib/v/checker/tests/defer_use_multi_return_value_with_index_out_of_bounds.vv
+%%DATADIR%%/vlib/v/checker/tests/defer_use_multi_return_value_without_index.out
+%%DATADIR%%/vlib/v/checker/tests/defer_use_multi_return_value_without_index.vv
+%%DATADIR%%/vlib/v/checker/tests/defer_use_returned_value_when_nothing_is_returned.out
+%%DATADIR%%/vlib/v/checker/tests/defer_use_returned_value_when_nothing_is_returned.vv
+%%DATADIR%%/vlib/v/checker/tests/defer_use_returned_value_when_result_is_returned.out
+%%DATADIR%%/vlib/v/checker/tests/defer_use_returned_value_when_result_is_returned.vv
%%DATADIR%%/vlib/v/checker/tests/deference_nil_ptr_err.out
%%DATADIR%%/vlib/v/checker/tests/deference_nil_ptr_err.vv
%%DATADIR%%/vlib/v/checker/tests/deprecations.out
%%DATADIR%%/vlib/v/checker/tests/deprecations.vv
+%%DATADIR%%/vlib/v/checker/tests/diff_type_map_value_err.out
+%%DATADIR%%/vlib/v/checker/tests/diff_type_map_value_err.vv
%%DATADIR%%/vlib/v/checker/tests/direct_map_alias_init_err.out
%%DATADIR%%/vlib/v/checker/tests/direct_map_alias_init_err.vv
%%DATADIR%%/vlib/v/checker/tests/disallow_pointer_arithmetic_err.out
@@ -2223,6 +2642,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/fn_call_arg_ptr_mismatch_err.vv
%%DATADIR%%/vlib/v/checker/tests/fn_call_no_body.out
%%DATADIR%%/vlib/v/checker/tests/fn_call_no_body.vv
+%%DATADIR%%/vlib/v/checker/tests/fn_call_ref_incompatible_u8_test.out
+%%DATADIR%%/vlib/v/checker/tests/fn_call_ref_incompatible_u8_test.vv
%%DATADIR%%/vlib/v/checker/tests/fn_call_using_none_arg_err.out
%%DATADIR%%/vlib/v/checker/tests/fn_call_using_none_arg_err.vv
%%DATADIR%%/vlib/v/checker/tests/fn_call_with_extra_parenthesis.out
@@ -2293,6 +2714,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/for_match_err.vv
%%DATADIR%%/vlib/v/checker/tests/free_method_errors.out
%%DATADIR%%/vlib/v/checker/tests/free_method_errors.vv
+%%DATADIR%%/vlib/v/checker/tests/func_with_static_keyword_err.out
+%%DATADIR%%/vlib/v/checker/tests/func_with_static_keyword_err.vv
%%DATADIR%%/vlib/v/checker/tests/function_arg_mutable_err.out
%%DATADIR%%/vlib/v/checker/tests/function_arg_mutable_err.vv
%%DATADIR%%/vlib/v/checker/tests/function_arg_redefinition.out
@@ -2309,14 +2732,20 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/function_wrong_arg_type.vv
%%DATADIR%%/vlib/v/checker/tests/function_wrong_return_type.out
%%DATADIR%%/vlib/v/checker/tests/function_wrong_return_type.vv
-%%DATADIR%%/vlib/v/checker/tests/generic_closure_fn_decl_err.out
-%%DATADIR%%/vlib/v/checker/tests/generic_closure_fn_decl_err.vv
+%%DATADIR%%/vlib/v/checker/tests/generic_closure_fn_decl_err_a.out
+%%DATADIR%%/vlib/v/checker/tests/generic_closure_fn_decl_err_a.vv
+%%DATADIR%%/vlib/v/checker/tests/generic_closure_fn_decl_err_b.out
+%%DATADIR%%/vlib/v/checker/tests/generic_closure_fn_decl_err_b.vv
+%%DATADIR%%/vlib/v/checker/tests/generic_eq_wrong_type.err.out
+%%DATADIR%%/vlib/v/checker/tests/generic_eq_wrong_type.err.vv
%%DATADIR%%/vlib/v/checker/tests/generic_fn_call_arg_mismatch_err.out
%%DATADIR%%/vlib/v/checker/tests/generic_fn_call_arg_mismatch_err.vv
%%DATADIR%%/vlib/v/checker/tests/generic_fn_decl_err.out
%%DATADIR%%/vlib/v/checker/tests/generic_fn_decl_err.vv
%%DATADIR%%/vlib/v/checker/tests/generic_fn_decl_without_generic_names_err.out
%%DATADIR%%/vlib/v/checker/tests/generic_fn_decl_without_generic_names_err.vv
+%%DATADIR%%/vlib/v/checker/tests/generic_fn_infinite_loop_limit_err.out
+%%DATADIR%%/vlib/v/checker/tests/generic_fn_infinite_loop_limit_err.vv
%%DATADIR%%/vlib/v/checker/tests/generic_infix_plus_err.out
%%DATADIR%%/vlib/v/checker/tests/generic_infix_plus_err.vv
%%DATADIR%%/vlib/v/checker/tests/generic_interface_err.out
@@ -2405,6 +2834,10 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/generics_type_ambiguous.vv
%%DATADIR%%/vlib/v/checker/tests/generics_undefined_operation.out
%%DATADIR%%/vlib/v/checker/tests/generics_undefined_operation.vv
+%%DATADIR%%/vlib/v/checker/tests/generics_undefined_operation_2.out
+%%DATADIR%%/vlib/v/checker/tests/generics_undefined_operation_2.vv
+%%DATADIR%%/vlib/v/checker/tests/globals/assign_global_to_shared_err.out
+%%DATADIR%%/vlib/v/checker/tests/globals/assign_global_to_shared_err.vv
%%DATADIR%%/vlib/v/checker/tests/globals/assign_no_value.out
%%DATADIR%%/vlib/v/checker/tests/globals/assign_no_value.vv
%%DATADIR%%/vlib/v/checker/tests/globals/closure_capture_global_var.out
@@ -2518,10 +2951,26 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/import_duplicate_err.vv
%%DATADIR%%/vlib/v/checker/tests/import_middle_err.out
%%DATADIR%%/vlib/v/checker/tests/import_middle_err.vv
+%%DATADIR%%/vlib/v/checker/tests/import_mod_as_duplicate_err.out
+%%DATADIR%%/vlib/v/checker/tests/import_mod_as_duplicate_err.vv
+%%DATADIR%%/vlib/v/checker/tests/import_mod_as_import_alias_duplicate_err.out
+%%DATADIR%%/vlib/v/checker/tests/import_mod_as_import_alias_duplicate_err.vv
+%%DATADIR%%/vlib/v/checker/tests/import_mod_as_import_duplicate_err.out
+%%DATADIR%%/vlib/v/checker/tests/import_mod_as_import_duplicate_err.vv
%%DATADIR%%/vlib/v/checker/tests/import_mod_as_mod_err.out
%%DATADIR%%/vlib/v/checker/tests/import_mod_as_mod_err.vv
+%%DATADIR%%/vlib/v/checker/tests/import_mod_duplicate_as_alias_err.out
+%%DATADIR%%/vlib/v/checker/tests/import_mod_duplicate_as_alias_err.vv
+%%DATADIR%%/vlib/v/checker/tests/import_mod_duplicate_err.out
+%%DATADIR%%/vlib/v/checker/tests/import_mod_duplicate_err.vv
+%%DATADIR%%/vlib/v/checker/tests/import_mod_sub_as_duplicate_err.out
+%%DATADIR%%/vlib/v/checker/tests/import_mod_sub_as_duplicate_err.vv
%%DATADIR%%/vlib/v/checker/tests/import_mod_sub_as_sub_err.out
%%DATADIR%%/vlib/v/checker/tests/import_mod_sub_as_sub_err.vv
+%%DATADIR%%/vlib/v/checker/tests/import_mod_sub_duplicate_as_mod.out
+%%DATADIR%%/vlib/v/checker/tests/import_mod_sub_duplicate_as_mod.vv
+%%DATADIR%%/vlib/v/checker/tests/import_mod_sub_duplicate_err.out
+%%DATADIR%%/vlib/v/checker/tests/import_mod_sub_duplicate_err.vv
%%DATADIR%%/vlib/v/checker/tests/import_multiple_modules_err.out
%%DATADIR%%/vlib/v/checker/tests/import_multiple_modules_err.vv
%%DATADIR%%/vlib/v/checker/tests/import_not_found_err.out
@@ -2670,6 +3119,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/js_with_non_js_backend_too_many_arguments.vv
%%DATADIR%%/vlib/v/checker/tests/json_decode.out
%%DATADIR%%/vlib/v/checker/tests/json_decode.vv
+%%DATADIR%%/vlib/v/checker/tests/json_decode_shared_err.out
+%%DATADIR%%/vlib/v/checker/tests/json_decode_shared_err.vv
%%DATADIR%%/vlib/v/checker/tests/labelled_break_continue.out
%%DATADIR%%/vlib/v/checker/tests/labelled_break_continue.vv
%%DATADIR%%/vlib/v/checker/tests/like_operator_outside_orm_error.out
@@ -2716,6 +3167,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/map_ops.vv
%%DATADIR%%/vlib/v/checker/tests/map_unknown_value.out
%%DATADIR%%/vlib/v/checker/tests/map_unknown_value.vv
+%%DATADIR%%/vlib/v/checker/tests/map_with_result_value_err.out
+%%DATADIR%%/vlib/v/checker/tests/map_with_result_value_err.vv
%%DATADIR%%/vlib/v/checker/tests/match_alias_type_err.out
%%DATADIR%%/vlib/v/checker/tests/match_alias_type_err.vv
%%DATADIR%%/vlib/v/checker/tests/match_cond_with_parenthesis_err.out
@@ -2744,6 +3197,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/match_return_sumtype_mismatch_err.vv
%%DATADIR%%/vlib/v/checker/tests/match_sumtype_multiple_types.out
%%DATADIR%%/vlib/v/checker/tests/match_sumtype_multiple_types.vv
+%%DATADIR%%/vlib/v/checker/tests/match_type_node_with_non_sum_type_err.out
+%%DATADIR%%/vlib/v/checker/tests/match_type_node_with_non_sum_type_err.vv
%%DATADIR%%/vlib/v/checker/tests/match_undefined_cond.out
%%DATADIR%%/vlib/v/checker/tests/match_undefined_cond.vv
%%DATADIR%%/vlib/v/checker/tests/method_array_slice.out
@@ -2877,6 +3332,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/oct_lit_without_digit_err.vv
%%DATADIR%%/vlib/v/checker/tests/oct_lit_wrong_digit_err.out
%%DATADIR%%/vlib/v/checker/tests/oct_lit_wrong_digit_err.vv
+%%DATADIR%%/vlib/v/checker/tests/option_concrete_type_err.out
+%%DATADIR%%/vlib/v/checker/tests/option_concrete_type_err.vv
%%DATADIR%%/vlib/v/checker/tests/option_fields_addr_err.out
%%DATADIR%%/vlib/v/checker/tests/option_fields_addr_err.vv
%%DATADIR%%/vlib/v/checker/tests/option_fn_err.out
@@ -2899,6 +3356,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/option_propagate_nested.vv
%%DATADIR%%/vlib/v/checker/tests/option_ptr_err.out
%%DATADIR%%/vlib/v/checker/tests/option_ptr_err.vv
+%%DATADIR%%/vlib/v/checker/tests/option_ptr_without_unwrapp_err.out
+%%DATADIR%%/vlib/v/checker/tests/option_ptr_without_unwrapp_err.vv
%%DATADIR%%/vlib/v/checker/tests/option_return_call_non_opt_err.out
%%DATADIR%%/vlib/v/checker/tests/option_return_call_non_opt_err.vv
%%DATADIR%%/vlib/v/checker/tests/option_return_selector_non_opt_err.out
@@ -2941,6 +3400,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/orm_using_undefined_object_in_insert_error.vv
%%DATADIR%%/vlib/v/checker/tests/orm_using_undefined_var_in_where_err.out
%%DATADIR%%/vlib/v/checker/tests/orm_using_undefined_var_in_where_err.vv
+%%DATADIR%%/vlib/v/checker/tests/orm_where_clause_unsupported_field_types_err.out
+%%DATADIR%%/vlib/v/checker/tests/orm_where_clause_unsupported_field_types_err.vv
%%DATADIR%%/vlib/v/checker/tests/orm_wrong_where_expr_error.out
%%DATADIR%%/vlib/v/checker/tests/orm_wrong_where_expr_error.vv
%%DATADIR%%/vlib/v/checker/tests/os_prefix.out
@@ -2961,6 +3422,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/passing_expr_to_fn_expecting_voidptr.vv
%%DATADIR%%/vlib/v/checker/tests/pointer_ops.out
%%DATADIR%%/vlib/v/checker/tests/pointer_ops.vv
+%%DATADIR%%/vlib/v/checker/tests/prefix_addr_err.out
+%%DATADIR%%/vlib/v/checker/tests/prefix_addr_err.vv
%%DATADIR%%/vlib/v/checker/tests/prefix_err.out
%%DATADIR%%/vlib/v/checker/tests/prefix_err.vv
%%DATADIR%%/vlib/v/checker/tests/prefix_expr_decl_assign_err.out
@@ -2997,8 +3460,12 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/reference_return.vv
%%DATADIR%%/vlib/v/checker/tests/require_or_block_sumtype_map.err.out
%%DATADIR%%/vlib/v/checker/tests/require_or_block_sumtype_map.err.vv
+%%DATADIR%%/vlib/v/checker/tests/res_use_outside_defer.out
+%%DATADIR%%/vlib/v/checker/tests/res_use_outside_defer.vv
%%DATADIR%%/vlib/v/checker/tests/reserved_type_name_const_err.out
%%DATADIR%%/vlib/v/checker/tests/reserved_type_name_const_err.vv
+%%DATADIR%%/vlib/v/checker/tests/result_alias_type_err.out
+%%DATADIR%%/vlib/v/checker/tests/result_alias_type_err.vv
%%DATADIR%%/vlib/v/checker/tests/result_missing_propagate_err.out
%%DATADIR%%/vlib/v/checker/tests/result_missing_propagate_err.vv
%%DATADIR%%/vlib/v/checker/tests/result_type_call_err.out
@@ -3084,6 +3551,10 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/shared_element_lock.vv
%%DATADIR%%/vlib/v/checker/tests/shared_lock.out
%%DATADIR%%/vlib/v/checker/tests/shared_lock.vv
+%%DATADIR%%/vlib/v/checker/tests/shared_param_assign_err.out
+%%DATADIR%%/vlib/v/checker/tests/shared_param_assign_err.vv
+%%DATADIR%%/vlib/v/checker/tests/shared_param_err.out
+%%DATADIR%%/vlib/v/checker/tests/shared_param_err.vv
%%DATADIR%%/vlib/v/checker/tests/shared_type_mismatch.out
%%DATADIR%%/vlib/v/checker/tests/shared_type_mismatch.vv
%%DATADIR%%/vlib/v/checker/tests/shift_op_wrong_left_type_err.out
@@ -3100,6 +3571,10 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/slice_reassignment.vv
%%DATADIR%%/vlib/v/checker/tests/sort_method_called_on_immutable_receiver.out
%%DATADIR%%/vlib/v/checker/tests/sort_method_called_on_immutable_receiver.vv
+%%DATADIR%%/vlib/v/checker/tests/static_method_multi_return_err.out
+%%DATADIR%%/vlib/v/checker/tests/static_method_multi_return_err.vv
+%%DATADIR%%/vlib/v/checker/tests/static_method_not_found_err.out
+%%DATADIR%%/vlib/v/checker/tests/static_method_not_found_err.vv
%%DATADIR%%/vlib/v/checker/tests/static_vars_in_translated_mode.out
%%DATADIR%%/vlib/v/checker/tests/static_vars_in_translated_mode.vv
%%DATADIR%%/vlib/v/checker/tests/store_string_err.out
@@ -3148,6 +3623,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/struct_field_init_fntype_mismatch.vv
%%DATADIR%%/vlib/v/checker/tests/struct_field_init_with_nobody_anon_fn_err.out
%%DATADIR%%/vlib/v/checker/tests/struct_field_init_with_nobody_anon_fn_err.vv
+%%DATADIR%%/vlib/v/checker/tests/struct_field_init_with_result_err.out
+%%DATADIR%%/vlib/v/checker/tests/struct_field_init_with_result_err.vv
%%DATADIR%%/vlib/v/checker/tests/struct_field_init_with_void_expr_err.out
%%DATADIR%%/vlib/v/checker/tests/struct_field_init_with_void_expr_err.vv
%%DATADIR%%/vlib/v/checker/tests/struct_field_map_address_err.out
@@ -3206,6 +3683,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/struct_unneeded_default.vv
%%DATADIR%%/vlib/v/checker/tests/struct_update_comptime_err.out
%%DATADIR%%/vlib/v/checker/tests/struct_update_comptime_err.vv
+%%DATADIR%%/vlib/v/checker/tests/struct_voidptr_field_init_err.out
+%%DATADIR%%/vlib/v/checker/tests/struct_voidptr_field_init_err.vv
%%DATADIR%%/vlib/v/checker/tests/struct_voidptr_field_no_ptr_struct_value_err.out
%%DATADIR%%/vlib/v/checker/tests/struct_voidptr_field_no_ptr_struct_value_err.vv
%%DATADIR%%/vlib/v/checker/tests/sum.out
@@ -3242,6 +3721,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/sumtype_mismatched_type.vv
%%DATADIR%%/vlib/v/checker/tests/sumtype_of_fntype_assign_err.out
%%DATADIR%%/vlib/v/checker/tests/sumtype_of_fntype_assign_err.vv
+%%DATADIR%%/vlib/v/checker/tests/sync_receiver_decl.out
+%%DATADIR%%/vlib/v/checker/tests/sync_receiver_decl.vv
%%DATADIR%%/vlib/v/checker/tests/templates/index.html
%%DATADIR%%/vlib/v/checker/tests/test_functions_wrong_signature_test.out
%%DATADIR%%/vlib/v/checker/tests/test_functions_wrong_signature_test.vv
@@ -3255,6 +3736,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/type_cast_option_err.vv
%%DATADIR%%/vlib/v/checker/tests/typedef_attr_v_struct_err.out
%%DATADIR%%/vlib/v/checker/tests/typedef_attr_v_struct_err.vv
+%%DATADIR%%/vlib/v/checker/tests/uncasted_enum_val_as_size_for_fixed_array_err.out
+%%DATADIR%%/vlib/v/checker/tests/uncasted_enum_val_as_size_for_fixed_array_err.vv
%%DATADIR%%/vlib/v/checker/tests/undefined_ident_in_if_guard_err_a.out
%%DATADIR%%/vlib/v/checker/tests/undefined_ident_in_if_guard_err_a.vv
%%DATADIR%%/vlib/v/checker/tests/undefined_ident_in_if_guard_err_b.out
@@ -3407,6 +3890,10 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/wrong_option_unwrap_err.vv
%%DATADIR%%/vlib/v/checker/tests/wrong_propagate_ret_type.out
%%DATADIR%%/vlib/v/checker/tests/wrong_propagate_ret_type.vv
+%%DATADIR%%/vlib/v/checker/tests/wrong_shift_left_option_err.out
+%%DATADIR%%/vlib/v/checker/tests/wrong_shift_left_option_err.vv
+%%DATADIR%%/vlib/v/checker/tests/wrong_type_casted_fixed_array_size_err.out
+%%DATADIR%%/vlib/v/checker/tests/wrong_type_casted_fixed_array_size_err.vv
%%DATADIR%%/vlib/v/compiler_errors_test.v
%%DATADIR%%/vlib/v/depgraph/depgraph.v
%%DATADIR%%/vlib/v/doc/comment.v
@@ -3524,8 +4011,8 @@ bin/v
%%DATADIR%%/vlib/v/fmt/tests/consts_with_comments_expected.vv
%%DATADIR%%/vlib/v/fmt/tests/consts_with_comments_input.vv
%%DATADIR%%/vlib/v/fmt/tests/consts_with_comments_keep.vv
-%%DATADIR%%/vlib/v/fmt/tests/consts_with_embeded_comments_expected.vv
-%%DATADIR%%/vlib/v/fmt/tests/consts_with_embeded_comments_input.vv
+%%DATADIR%%/vlib/v/fmt/tests/consts_with_embedded_comments_expected.vv
+%%DATADIR%%/vlib/v/fmt/tests/consts_with_embedded_comments_input.vv
%%DATADIR%%/vlib/v/fmt/tests/do_not_change_type_names_that_just_happen_to_have_the_module_as_a_substring_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/embed_file_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/empty_curlies_and_parens_keep.vv
@@ -3533,6 +4020,7 @@ bin/v
%%DATADIR%%/vlib/v/fmt/tests/empty_lines_input.vv
%%DATADIR%%/vlib/v/fmt/tests/empty_lines_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/empty_map_fmt_keep.vv
+%%DATADIR%%/vlib/v/fmt/tests/enum_attributes_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/enum_comments_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/enums_expected.vv
%%DATADIR%%/vlib/v/fmt/tests/enums_input.vv
@@ -3562,6 +4050,8 @@ bin/v
%%DATADIR%%/vlib/v/fmt/tests/fn_types_list_ending_with_comma_expected.vv
%%DATADIR%%/vlib/v/fmt/tests/fn_types_list_ending_with_comma_input.vv
%%DATADIR%%/vlib/v/fmt/tests/fn_with_anon_params_keep.vv
+%%DATADIR%%/vlib/v/fmt/tests/fn_with_end_comments_keep.vv
+%%DATADIR%%/vlib/v/fmt/tests/fn_with_short_args_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/fn_with_variadic_arg_expected.vv
%%DATADIR%%/vlib/v/fmt/tests/fn_with_variadic_arg_input.vv
%%DATADIR%%/vlib/v/fmt/tests/fntype_alias_array_keep.vv
@@ -3638,6 +4128,7 @@ bin/v
%%DATADIR%%/vlib/v/fmt/tests/loops_expected.vv
%%DATADIR%%/vlib/v/fmt/tests/loops_input.vv
%%DATADIR%%/vlib/v/fmt/tests/manualfree_keep.v
+%%DATADIR%%/vlib/v/fmt/tests/map_init_comments_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/map_of_strings_to_enums_init_with_utf8_keys_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/map_value_with_option_result_expected.vv
%%DATADIR%%/vlib/v/fmt/tests/map_value_with_option_result_input.vv
@@ -3683,6 +4174,7 @@ bin/v
%%DATADIR%%/vlib/v/fmt/tests/shared_input.vv
%%DATADIR%%/vlib/v/fmt/tests/shorten_longer_module_paths_first_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/star__amp_int__cast_keep.vv
+%%DATADIR%%/vlib/v/fmt/tests/static_methods_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/static_mut_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/stmt_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/string_interpolation_complex_keep.vv
@@ -3717,6 +4209,7 @@ bin/v
%%DATADIR%%/vlib/v/fmt/tests/too_long_infix_expressions_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/trailing_space_expected.vv
%%DATADIR%%/vlib/v/fmt/tests/trailing_space_input.vv
+%%DATADIR%%/vlib/v/fmt/tests/type_decl_comments_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/type_ptr_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/typeof_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/types_expected.vv
@@ -3753,6 +4246,7 @@ bin/v
%%DATADIR%%/vlib/v/gen/c/orm.v
%%DATADIR%%/vlib/v/gen/c/profile.v
%%DATADIR%%/vlib/v/gen/c/reflection.v
+%%DATADIR%%/vlib/v/gen/c/spawn_and_go.v
%%DATADIR%%/vlib/v/gen/c/str.v
%%DATADIR%%/vlib/v/gen/c/str_intp.v
%%DATADIR%%/vlib/v/gen/c/struct.v
@@ -3928,15 +4422,19 @@ bin/v
%%DATADIR%%/vlib/v/gen/native/builtins.v
%%DATADIR%%/vlib/v/gen/native/comptime.v
%%DATADIR%%/vlib/v/gen/native/elf.v
+%%DATADIR%%/vlib/v/gen/native/expr.v
%%DATADIR%%/vlib/v/gen/native/gen.v
%%DATADIR%%/vlib/v/gen/native/macho.v
%%DATADIR%%/vlib/v/gen/native/macho_test.v
%%DATADIR%%/vlib/v/gen/native/pe.v
+%%DATADIR%%/vlib/v/gen/native/stmt.v
%%DATADIR%%/vlib/v/gen/native/syscall.v
%%DATADIR%%/vlib/v/gen/native/tests/asm.vv
%%DATADIR%%/vlib/v/gen/native/tests/asm.vv.out
%%DATADIR%%/vlib/v/gen/native/tests/assert.vv
%%DATADIR%%/vlib/v/gen/native/tests/assert.vv.out
+%%DATADIR%%/vlib/v/gen/native/tests/assign.vv
+%%DATADIR%%/vlib/v/gen/native/tests/assign.vv.out
%%DATADIR%%/vlib/v/gen/native/tests/atexpr.vv
%%DATADIR%%/vlib/v/gen/native/tests/atexpr.vv.out
%%DATADIR%%/vlib/v/gen/native/tests/compare.vv
@@ -4086,12 +4584,20 @@ bin/v
%%DATADIR%%/vlib/v/parser/tests/argumented_op_overloading_fn_decl_err.vv
%%DATADIR%%/vlib/v/parser/tests/argumented_op_overloading_fn_op_overloaded_decl_err.out
%%DATADIR%%/vlib/v/parser/tests/argumented_op_overloading_fn_op_overloaded_decl_err.vv
+%%DATADIR%%/vlib/v/parser/tests/array_decl_type_alias_err.out
+%%DATADIR%%/vlib/v/parser/tests/array_decl_type_alias_err.vv
+%%DATADIR%%/vlib/v/parser/tests/array_decl_type_err.out
+%%DATADIR%%/vlib/v/parser/tests/array_decl_type_err.vv
+%%DATADIR%%/vlib/v/parser/tests/array_decl_type_struct_field_err.out
+%%DATADIR%%/vlib/v/parser/tests/array_decl_type_struct_field_err.vv
%%DATADIR%%/vlib/v/parser/tests/array_init.out
%%DATADIR%%/vlib/v/parser/tests/array_init.vv
%%DATADIR%%/vlib/v/parser/tests/array_init_given_len_not_given_err.out
%%DATADIR%%/vlib/v/parser/tests/array_init_given_len_not_given_err.vv
%%DATADIR%%/vlib/v/parser/tests/array_pos_err.out
%%DATADIR%%/vlib/v/parser/tests/array_pos_err.vv
+%%DATADIR%%/vlib/v/parser/tests/atomic_blank_ident_err.out
+%%DATADIR%%/vlib/v/parser/tests/atomic_blank_ident_err.vv
%%DATADIR%%/vlib/v/parser/tests/c_struct_no_embed.out
%%DATADIR%%/vlib/v/parser/tests/c_struct_no_embed.vv
%%DATADIR%%/vlib/v/parser/tests/cast_to_any_type_err.out
@@ -4144,8 +4650,8 @@ bin/v
%%DATADIR%%/vlib/v/parser/tests/duplicate_type_b.vv
%%DATADIR%%/vlib/v/parser/tests/duplicated_generic_err.out
%%DATADIR%%/vlib/v/parser/tests/duplicated_generic_err.vv
-%%DATADIR%%/vlib/v/parser/tests/embeded_struct_attribute_err.out
-%%DATADIR%%/vlib/v/parser/tests/embeded_struct_attribute_err.vv
+%%DATADIR%%/vlib/v/parser/tests/embedded_struct_attribute_err.out
+%%DATADIR%%/vlib/v/parser/tests/embedded_struct_attribute_err.vv
%%DATADIR%%/vlib/v/parser/tests/empty_name_expr_err.out
%%DATADIR%%/vlib/v/parser/tests/empty_name_expr_err.vv
%%DATADIR%%/vlib/v/parser/tests/expected_type_enum_err.out
@@ -4297,6 +4803,8 @@ bin/v
%%DATADIR%%/vlib/v/parser/tests/map_init_void2.vv
%%DATADIR%%/vlib/v/parser/tests/map_syntax_err.out
%%DATADIR%%/vlib/v/parser/tests/map_syntax_err.vv
+%%DATADIR%%/vlib/v/parser/tests/match_multi_else_branch_err.out
+%%DATADIR%%/vlib/v/parser/tests/match_multi_else_branch_err.vv
%%DATADIR%%/vlib/v/parser/tests/match_range_dotdot_err.out
%%DATADIR%%/vlib/v/parser/tests/match_range_dotdot_err.vv
%%DATADIR%%/vlib/v/parser/tests/method_call_receiver_err.out
@@ -4313,6 +4821,8 @@ bin/v
%%DATADIR%%/vlib/v/parser/tests/module_syntax_err.vv
%%DATADIR%%/vlib/v/parser/tests/multi_argumented_assign_err.out
%%DATADIR%%/vlib/v/parser/tests/multi_argumented_assign_err.vv
+%%DATADIR%%/vlib/v/parser/tests/mut_blank_ident_err.out
+%%DATADIR%%/vlib/v/parser/tests/mut_blank_ident_err.vv
%%DATADIR%%/vlib/v/parser/tests/named_sum_type_none_err.out
%%DATADIR%%/vlib/v/parser/tests/named_sum_type_none_err.vv
%%DATADIR%%/vlib/v/parser/tests/nested_defer.out
@@ -4375,6 +4885,8 @@ bin/v
%%DATADIR%%/vlib/v/parser/tests/select_else_1.vv
%%DATADIR%%/vlib/v/parser/tests/select_else_2.out
%%DATADIR%%/vlib/v/parser/tests/select_else_2.vv
+%%DATADIR%%/vlib/v/parser/tests/shared_blank_ident_err.out
+%%DATADIR%%/vlib/v/parser/tests/shared_blank_ident_err.vv
%%DATADIR%%/vlib/v/parser/tests/sql_no_db_expr_a.out
%%DATADIR%%/vlib/v/parser/tests/sql_no_db_expr_a.vv
%%DATADIR%%/vlib/v/parser/tests/sql_no_db_expr_b.out
@@ -4581,12 +5093,18 @@ bin/v
%%DATADIR%%/vlib/v/slow_tests/inout/hello.vv
%%DATADIR%%/vlib/v/slow_tests/inout/hello_devs.out
%%DATADIR%%/vlib/v/slow_tests/inout/hello_devs.vv
+%%DATADIR%%/vlib/v/slow_tests/inout/interface_field_initialised_struct_update_expr.out
+%%DATADIR%%/vlib/v/slow_tests/inout/interface_field_initialised_struct_update_expr.vv
%%DATADIR%%/vlib/v/slow_tests/inout/nested_generic_fn_call.out
%%DATADIR%%/vlib/v/slow_tests/inout/nested_generic_fn_call.vv
%%DATADIR%%/vlib/v/slow_tests/inout/nested_structs.out
%%DATADIR%%/vlib/v/slow_tests/inout/nested_structs.vv
%%DATADIR%%/vlib/v/slow_tests/inout/option_fn_arg.out
%%DATADIR%%/vlib/v/slow_tests/inout/option_fn_arg.vv
+%%DATADIR%%/vlib/v/slow_tests/inout/option_panic.out
+%%DATADIR%%/vlib/v/slow_tests/inout/option_panic.vv
+%%DATADIR%%/vlib/v/slow_tests/inout/option_unwrap_err.out
+%%DATADIR%%/vlib/v/slow_tests/inout/option_unwrap_err.vv
%%DATADIR%%/vlib/v/slow_tests/inout/or_block_with_rvoid.out
%%DATADIR%%/vlib/v/slow_tests/inout/or_block_with_rvoid.vv
%%DATADIR%%/vlib/v/slow_tests/inout/orm_panic_for_insert_into_not_created_table.out
@@ -4658,6 +5176,8 @@ bin/v
%%DATADIR%%/vlib/v/slow_tests/inout/struct_dump_option_fields.vv
%%DATADIR%%/vlib/v/slow_tests/inout/struct_field_option.out
%%DATADIR%%/vlib/v/slow_tests/inout/struct_field_option.vv
+%%DATADIR%%/vlib/v/slow_tests/inout/struct_with_embed_field_init.out
+%%DATADIR%%/vlib/v/slow_tests/inout/struct_with_embed_field_init.vv
%%DATADIR%%/vlib/v/slow_tests/inout/sumtype_with_fntype.out
%%DATADIR%%/vlib/v/slow_tests/inout/sumtype_with_fntype.vv
%%DATADIR%%/vlib/v/slow_tests/inout/tmpl_all_in_one_folder.out
@@ -4742,6 +5262,7 @@ bin/v
%%DATADIR%%/vlib/v/slow_tests/valgrind/array_init_with_string_variable.v
%%DATADIR%%/vlib/v/slow_tests/valgrind/base64.v
%%DATADIR%%/vlib/v/slow_tests/valgrind/buffer_passed_in_fn_that_uses_tos_on_it.v
+%%DATADIR%%/vlib/v/slow_tests/valgrind/cross_var_assign.v
%%DATADIR%%/vlib/v/slow_tests/valgrind/dump_nested_structs.v
%%DATADIR%%/vlib/v/slow_tests/valgrind/fn_returning_string_param.v
%%DATADIR%%/vlib/v/slow_tests/valgrind/fn_with_return_should_free_local_vars.v
@@ -4764,6 +5285,7 @@ bin/v
%%DATADIR%%/vlib/v/slow_tests/valgrind/strings_builder.v
%%DATADIR%%/vlib/v/slow_tests/valgrind/struct_field.v
%%DATADIR%%/vlib/v/slow_tests/valgrind/struct_of_array_of_same_struct.v
+%%DATADIR%%/vlib/v/slow_tests/valgrind/sync.v
%%DATADIR%%/vlib/v/slow_tests/valgrind/valgrind_test.v
%%DATADIR%%/vlib/v/tests/addr_test.v
%%DATADIR%%/vlib/v/tests/aggregate_is_nodetype_test.v
@@ -4777,10 +5299,12 @@ bin/v
%%DATADIR%%/vlib/v/tests/alias_fixed_array_of_struct_test.v
%%DATADIR%%/vlib/v/tests/alias_fixed_array_test.v
%%DATADIR%%/vlib/v/tests/alias_in_a_struct_field_autostr_test.v
+%%DATADIR%%/vlib/v/tests/alias_map_clone_test.v
%%DATADIR%%/vlib/v/tests/alias_map_keys_test.v
%%DATADIR%%/vlib/v/tests/alias_map_operator_overloading_test.v
%%DATADIR%%/vlib/v/tests/alias_operator_overloading_test.v
%%DATADIR%%/vlib/v/tests/alias_sumtype_method_call_test.v
+%%DATADIR%%/vlib/v/tests/alias_to_ptr_arg_test.v
%%DATADIR%%/vlib/v/tests/aliased_array_method_call_test.v
%%DATADIR%%/vlib/v/tests/aliased_array_operations_test.v
%%DATADIR%%/vlib/v/tests/aliased_field_access_test.v
@@ -4791,6 +5315,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/anon_fn_call_test.v
%%DATADIR%%/vlib/v/tests/anon_fn_decl_inside_ternary_test.v
%%DATADIR%%/vlib/v/tests/anon_fn_decl_with_anon_fn_params_test.v
+%%DATADIR%%/vlib/v/tests/anon_fn_fixed_arr_test.v
%%DATADIR%%/vlib/v/tests/anon_fn_in_containers_test.v
%%DATADIR%%/vlib/v/tests/anon_fn_option_call_in_if_expr_test.v
%%DATADIR%%/vlib/v/tests/anon_fn_redefinition_test.v
@@ -4800,6 +5325,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/anon_fn_with_array_arguments_test.v
%%DATADIR%%/vlib/v/tests/anon_fn_with_nested_anon_fn_args_test.v
%%DATADIR%%/vlib/v/tests/anon_fn_with_option_test.v
+%%DATADIR%%/vlib/v/tests/anon_struct_assign_test.v
%%DATADIR%%/vlib/v/tests/anon_struct_type_test.v
%%DATADIR%%/vlib/v/tests/anon_sum_type_test.v
%%DATADIR%%/vlib/v/tests/append_struct_to_interface_array_test.v
@@ -4820,6 +5346,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/array_insert_as_mut_receiver_test.v
%%DATADIR%%/vlib/v/tests/array_map_or_test.v
%%DATADIR%%/vlib/v/tests/array_map_ref_test.v
+%%DATADIR%%/vlib/v/tests/array_method_using_it_in_defer_test.v
%%DATADIR%%/vlib/v/tests/array_methods_test.v
%%DATADIR%%/vlib/v/tests/array_nested_call_test.v
%%DATADIR%%/vlib/v/tests/array_of_alias_pop_test.v
@@ -4829,12 +5356,14 @@ bin/v
%%DATADIR%%/vlib/v/tests/array_of_fixed_array_test.v
%%DATADIR%%/vlib/v/tests/array_of_functions_direct_call_test.v
%%DATADIR%%/vlib/v/tests/array_of_interface_init_test.v
+%%DATADIR%%/vlib/v/tests/array_of_interfaces_builtin_method_test.v
%%DATADIR%%/vlib/v/tests/array_of_interfaces_equality_test.v
%%DATADIR%%/vlib/v/tests/array_of_map_with_default_test.v
%%DATADIR%%/vlib/v/tests/array_of_ptrs_test.v
%%DATADIR%%/vlib/v/tests/array_of_reference_sumtype_test.v
%%DATADIR%%/vlib/v/tests/array_of_sumtype_append_aggregate_type_test.v
%%DATADIR%%/vlib/v/tests/array_of_sumtype_append_alias_test.v
+%%DATADIR%%/vlib/v/tests/array_of_sumtype_append_array_of_sumtype_test.v
%%DATADIR%%/vlib/v/tests/array_of_sumtype_append_literal_type_test.v
%%DATADIR%%/vlib/v/tests/array_of_sumtype_init_test.v
%%DATADIR%%/vlib/v/tests/array_of_sumtype_with_default_test.v
@@ -4867,6 +5396,10 @@ bin/v
%%DATADIR%%/vlib/v/tests/assign_shared_test.v
%%DATADIR%%/vlib/v/tests/atomic_test.v
%%DATADIR%%/vlib/v/tests/attribute_test.v
+%%DATADIR%%/vlib/v/tests/autocast_in_if_conds_1_test.v
+%%DATADIR%%/vlib/v/tests/autocast_in_if_conds_2_test.v
+%%DATADIR%%/vlib/v/tests/autocast_in_if_conds_3_test.v
+%%DATADIR%%/vlib/v/tests/autocast_in_if_conds_4_test.v
%%DATADIR%%/vlib/v/tests/autogen_free_test.v
%%DATADIR%%/vlib/v/tests/autolock_array1_test.v
%%DATADIR%%/vlib/v/tests/autolock_array2_test.v
@@ -4943,6 +5476,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/comptime_bittness_and_endianess_test.v
%%DATADIR%%/vlib/v/tests/comptime_branching_working_without_compile_error_test.v
%%DATADIR%%/vlib/v/tests/comptime_call_in_fn_call_test.v
+%%DATADIR%%/vlib/v/tests/comptime_call_or_block_test.v
%%DATADIR%%/vlib/v/tests/comptime_call_test.v
%%DATADIR%%/vlib/v/tests/comptime_call_tmpl_variable_scope_test.tpl
%%DATADIR%%/vlib/v/tests/comptime_call_tmpl_variable_scope_test.v
@@ -4951,6 +5485,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/comptime_const_def_test.v
%%DATADIR%%/vlib/v/tests/comptime_enum_test.v
%%DATADIR%%/vlib/v/tests/comptime_field_indirections_test.v
+%%DATADIR%%/vlib/v/tests/comptime_field_name_check_test.v
%%DATADIR%%/vlib/v/tests/comptime_field_selector_test.v
%%DATADIR%%/vlib/v/tests/comptime_for_break_test.v
%%DATADIR%%/vlib/v/tests/comptime_for_in_field_selector_test.v
@@ -4986,7 +5521,11 @@ bin/v
%%DATADIR%%/vlib/v/tests/comptime_name_check_test.v
%%DATADIR%%/vlib/v/tests/comptime_on_generics_func_test.v
%%DATADIR%%/vlib/v/tests/comptime_option_field_test.v
+%%DATADIR%%/vlib/v/tests/comptime_println_test.v
+%%DATADIR%%/vlib/v/tests/comptime_propagate_test.v
%%DATADIR%%/vlib/v/tests/comptime_selector_ptr_test.v
+%%DATADIR%%/vlib/v/tests/comptime_sumtype_cast_2_test.v
+%%DATADIR%%/vlib/v/tests/comptime_sumtype_cast_3_test.v
%%DATADIR%%/vlib/v/tests/comptime_sumtype_cast_test.v
%%DATADIR%%/vlib/v/tests/comptime_type_test.v
%%DATADIR%%/vlib/v/tests/comptime_var_assignment_test.v
@@ -5011,6 +5550,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/const_name_equals_fn_name_test.v
%%DATADIR%%/vlib/v/tests/const_reference_argument_test.v
%%DATADIR%%/vlib/v/tests/const_representation_test.v
+%%DATADIR%%/vlib/v/tests/const_resolution_test.v
%%DATADIR%%/vlib/v/tests/const_selector_expr_order_test.v
%%DATADIR%%/vlib/v/tests/const_test.v
%%DATADIR%%/vlib/v/tests/const_use_nested_options_test.v
@@ -5028,6 +5568,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/defer/defer_return_test.v
%%DATADIR%%/vlib/v/tests/defer/defer_test.v
%%DATADIR%%/vlib/v/tests/defer/defer_with_fn_var_test.v
+%%DATADIR%%/vlib/v/tests/defer_use_returned_value_test.v
%%DATADIR%%/vlib/v/tests/dereference_mut_interface_in_loop_test.v
%%DATADIR%%/vlib/v/tests/differently_named_structs_test.v
%%DATADIR%%/vlib/v/tests/double_ref_deref_test.v
@@ -5048,23 +5589,30 @@ bin/v
%%DATADIR%%/vlib/v/tests/empty_struct_test.v
%%DATADIR%%/vlib/v/tests/enum_aliases_test.v
%%DATADIR%%/vlib/v/tests/enum_array_field_test.v
+%%DATADIR%%/vlib/v/tests/enum_attr_2_test.v
+%%DATADIR%%/vlib/v/tests/enum_attr_test.v
%%DATADIR%%/vlib/v/tests/enum_bitfield_64bit_test.v
%%DATADIR%%/vlib/v/tests/enum_bitfield_test.v
%%DATADIR%%/vlib/v/tests/enum_bitfield_works_with_comptime_conditional_in_the_same_scope_test.v
+%%DATADIR%%/vlib/v/tests/enum_default_test.v
%%DATADIR%%/vlib/v/tests/enum_default_value_in_struct_test.v
%%DATADIR%%/vlib/v/tests/enum_explicit_size_big_and_small_test.v
%%DATADIR%%/vlib/v/tests/enum_hex_test.v
+%%DATADIR%%/vlib/v/tests/enum_max_test.v
%%DATADIR%%/vlib/v/tests/enum_test.v
%%DATADIR%%/vlib/v/tests/failing_tests_test.v
%%DATADIR%%/vlib/v/tests/field_publicity/embed.v
%%DATADIR%%/vlib/v/tests/filter_in_map_test.v
%%DATADIR%%/vlib/v/tests/filter_test.v
+%%DATADIR%%/vlib/v/tests/fixed_array_2_test.v
+%%DATADIR%%/vlib/v/tests/fixed_array_chan_test.v
%%DATADIR%%/vlib/v/tests/fixed_array_const_size_test.v
%%DATADIR%%/vlib/v/tests/fixed_array_explicit_decompose_test.v
%%DATADIR%%/vlib/v/tests/fixed_array_in_op_test.v
%%DATADIR%%/vlib/v/tests/fixed_array_init_test.v
%%DATADIR%%/vlib/v/tests/fixed_array_literal_index_test.v
%%DATADIR%%/vlib/v/tests/fixed_array_literal_range_index_test.v
+%%DATADIR%%/vlib/v/tests/fixed_array_map_test.v
%%DATADIR%%/vlib/v/tests/fixed_array_of_alias_struct_test.v
%%DATADIR%%/vlib/v/tests/fixed_array_of_fn_test.v
%%DATADIR%%/vlib/v/tests/fixed_array_of_interfaces_equality_test.v
@@ -5081,6 +5629,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/fn_cross_assign_test.v
%%DATADIR%%/vlib/v/tests/fn_expecting_ref_but_returning_struct_test.v
%%DATADIR%%/vlib/v/tests/fn_expecting_ref_but_returning_struct_time_module_test.v
+%%DATADIR%%/vlib/v/tests/fn_fixed_array_ret_test.v
%%DATADIR%%/vlib/v/tests/fn_high_test.v
%%DATADIR%%/vlib/v/tests/fn_index_direct_call_test.v
%%DATADIR%%/vlib/v/tests/fn_literal_type_test.v
@@ -5089,6 +5638,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/fn_mut_arg_of_array_test.v
%%DATADIR%%/vlib/v/tests/fn_mut_arg_of_interface_test.v
%%DATADIR%%/vlib/v/tests/fn_mut_args_test.v
+%%DATADIR%%/vlib/v/tests/fn_ptr_call_test.v
%%DATADIR%%/vlib/v/tests/fn_return_alias_of_ptr_test.v
%%DATADIR%%/vlib/v/tests/fn_return_fn_test.v
%%DATADIR%%/vlib/v/tests/fn_return_mut_sumtype_test.v
@@ -5101,6 +5651,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/fn_type_only_argument_test.v
%%DATADIR%%/vlib/v/tests/fn_var_name_using_reserved_test.v
%%DATADIR%%/vlib/v/tests/fn_variadic_test.v
+%%DATADIR%%/vlib/v/tests/fn_voidptr_param_call_with_nonpointer_rvalue_test.v
%%DATADIR%%/vlib/v/tests/fn_with_array_of_aliases_argument_test.v
%%DATADIR%%/vlib/v/tests/fn_with_fixed_array_args_test.v
%%DATADIR%%/vlib/v/tests/fn_with_fixed_array_function_args_test.v
@@ -5113,6 +5664,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/for_in_containers_of_fixed_array_test.v
%%DATADIR%%/vlib/v/tests/for_in_iterator_of_generic_struct_1_test.v
%%DATADIR%%/vlib/v/tests/for_in_iterator_of_generic_struct_2_test.v
+%%DATADIR%%/vlib/v/tests/for_in_iterator_of_generic_struct_3_test.v
%%DATADIR%%/vlib/v/tests/for_in_iterator_test.v
%%DATADIR%%/vlib/v/tests/for_in_map_of_pointers_test.v
%%DATADIR%%/vlib/v/tests/for_in_mut_array_index_test.v
@@ -5132,6 +5684,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/for_loop_with_option_test.v
%%DATADIR%%/vlib/v/tests/for_loops_2_test.v
%%DATADIR%%/vlib/v/tests/for_loops_test.v
+%%DATADIR%%/vlib/v/tests/for_select_test.v
%%DATADIR%%/vlib/v/tests/for_smartcast_test.v
%%DATADIR%%/vlib/v/tests/for_t_fields_with_comptime_if_test.v
%%DATADIR%%/vlib/v/tests/forcomp_alias_type_test.v
@@ -5139,8 +5692,10 @@ bin/v
%%DATADIR%%/vlib/v/tests/generic_array_of_alias_test.v
%%DATADIR%%/vlib/v/tests/generic_array_of_sumtype_push_test.v
%%DATADIR%%/vlib/v/tests/generic_arrays_sum_test.v
+%%DATADIR%%/vlib/v/tests/generic_call_mixing_args_test.v
%%DATADIR%%/vlib/v/tests/generic_chan_test.v
%%DATADIR%%/vlib/v/tests/generic_complex_sumtype_test.v
+%%DATADIR%%/vlib/v/tests/generic_comptime_test.v
%%DATADIR%%/vlib/v/tests/generic_empty_interface_to_multi_struct_test.v
%%DATADIR%%/vlib/v/tests/generic_empty_interface_to_struct_test.v
%%DATADIR%%/vlib/v/tests/generic_fn_assign_generics_struct_test.v
@@ -5149,6 +5704,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/generic_fn_infer_fixed_array_test.v
%%DATADIR%%/vlib/v/tests/generic_fn_infer_fn_type_argument_test.v
%%DATADIR%%/vlib/v/tests/generic_fn_infer_fn_type_using_ref_arg_test.v
+%%DATADIR%%/vlib/v/tests/generic_fn_infer_map_argument_test.v
%%DATADIR%%/vlib/v/tests/generic_fn_infer_map_test.v
%%DATADIR%%/vlib/v/tests/generic_fn_infer_modifier_test.v
%%DATADIR%%/vlib/v/tests/generic_fn_infer_multi_paras_test.v
@@ -5165,6 +5721,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/generic_fn_using_generic_type_in_if_test.v
%%DATADIR%%/vlib/v/tests/generic_fn_with_anon_fn_test.v
%%DATADIR%%/vlib/v/tests/generic_fn_with_comptime_for_test.v
+%%DATADIR%%/vlib/v/tests/generic_fn_with_nested_generic_fn_call_test.v
%%DATADIR%%/vlib/v/tests/generic_fn_with_short_generic_struct_init_syntax_1_test.v
%%DATADIR%%/vlib/v/tests/generic_fn_with_short_generic_struct_init_syntax_2_test.v
%%DATADIR%%/vlib/v/tests/generic_functions_with_normal_function_test.v
@@ -5174,9 +5731,12 @@ bin/v
%%DATADIR%%/vlib/v/tests/generic_operator_overload_test.v
%%DATADIR%%/vlib/v/tests/generic_recursive_fn_test.v
%%DATADIR%%/vlib/v/tests/generic_resolve_test.v
+%%DATADIR%%/vlib/v/tests/generic_selector_test.v
+%%DATADIR%%/vlib/v/tests/generic_static_method_test.v
%%DATADIR%%/vlib/v/tests/generic_struct_init_with_field_struct_init_test.v
%%DATADIR%%/vlib/v/tests/generic_struct_init_with_generic_cast_test.v
%%DATADIR%%/vlib/v/tests/generic_struct_init_with_update_expr_test.v
+%%DATADIR%%/vlib/v/tests/generic_struct_recursive_test.v
%%DATADIR%%/vlib/v/tests/generic_struct_test.v
%%DATADIR%%/vlib/v/tests/generic_sumtype_cast_test.v
%%DATADIR%%/vlib/v/tests/generic_sumtype_init_in_generic_fn_call_test.v
@@ -5204,6 +5764,9 @@ bin/v
%%DATADIR%%/vlib/v/tests/generics_fn_return_generic_interface_test.v
%%DATADIR%%/vlib/v/tests/generics_fn_return_result_test.v
%%DATADIR%%/vlib/v/tests/generics_fn_return_types_with_generic_struct_test.v
+%%DATADIR%%/vlib/v/tests/generics_fn_variable_1_test.v
+%%DATADIR%%/vlib/v/tests/generics_fn_variable_2_test.v
+%%DATADIR%%/vlib/v/tests/generics_fn_variable_3_test.v
%%DATADIR%%/vlib/v/tests/generics_for_in_iterate_test.v
%%DATADIR%%/vlib/v/tests/generics_from_modules/genericmodule/take.v
%%DATADIR%%/vlib/v/tests/generics_from_modules/infer_generic_struct_test.v
@@ -5230,6 +5793,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/generics_method_returning_option_test.v
%%DATADIR%%/vlib/v/tests/generics_method_str_overload_test.v
%%DATADIR%%/vlib/v/tests/generics_method_test.v
+%%DATADIR%%/vlib/v/tests/generics_method_variable_test.v
%%DATADIR%%/vlib/v/tests/generics_method_with_generic_anon_fn_argument_test.v
%%DATADIR%%/vlib/v/tests/generics_method_with_multi_types_test.v
%%DATADIR%%/vlib/v/tests/generics_method_with_nested_generic_method_test.v
@@ -5251,6 +5815,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/generics_struct_free_test.v
%%DATADIR%%/vlib/v/tests/generics_struct_init_in_generic_fn_test.v
%%DATADIR%%/vlib/v/tests/generics_struct_init_test.v
+%%DATADIR%%/vlib/v/tests/generics_struct_init_with_generic_struct_items_test.v
%%DATADIR%%/vlib/v/tests/generics_struct_init_with_inconsistent_generic_types_1_test.v
%%DATADIR%%/vlib/v/tests/generics_struct_init_with_inconsistent_generic_types_2_test.v
%%DATADIR%%/vlib/v/tests/generics_struct_init_with_inconsistent_generic_types_3_test.v
@@ -5264,8 +5829,10 @@ bin/v
%%DATADIR%%/vlib/v/tests/generics_struct_to_string_test.v
%%DATADIR%%/vlib/v/tests/generics_struct_types_infer_test.v
%%DATADIR%%/vlib/v/tests/generics_struct_with_array_test.v
+%%DATADIR%%/vlib/v/tests/generics_struct_with_inconsistent_generic_types_1_test.v
%%DATADIR%%/vlib/v/tests/generics_struct_with_non_generic_interface_test.v
%%DATADIR%%/vlib/v/tests/generics_test.v
+%%DATADIR%%/vlib/v/tests/generics_union_dump_test.v
%%DATADIR%%/vlib/v/tests/generics_with_anon_generics_fn_test.v
%%DATADIR%%/vlib/v/tests/generics_with_assign_nested_generics_call_test.v
%%DATADIR%%/vlib/v/tests/generics_with_cascaded_multiple_nested_generics_fn_test.v
@@ -5319,6 +5886,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/if_expr_of_multi_stmts_test.v
%%DATADIR%%/vlib/v/tests/if_expr_of_option_test.v
%%DATADIR%%/vlib/v/tests/if_expr_with_array_call_test.v
+%%DATADIR%%/vlib/v/tests/if_expr_with_continue_in_branch_test.v
%%DATADIR%%/vlib/v/tests/if_expr_with_enum_test.v
%%DATADIR%%/vlib/v/tests/if_expr_with_fn_call_result_test.v
%%DATADIR%%/vlib/v/tests/if_expr_with_generic_sumtype_test.v
@@ -5343,7 +5911,9 @@ bin/v
%%DATADIR%%/vlib/v/tests/ifexpr_with_option_result_test.v
%%DATADIR%%/vlib/v/tests/imported_symbols_test.v
%%DATADIR%%/vlib/v/tests/in_expression_test.v
+%%DATADIR%%/vlib/v/tests/infer_generic_array_type_in_nested_call_test.v
%%DATADIR%%/vlib/v/tests/infix_expr_test.v
+%%DATADIR%%/vlib/v/tests/inherited_vars_test.v
%%DATADIR%%/vlib/v/tests/init_global_test.v
%%DATADIR%%/vlib/v/tests/init_multiple_branches_test.v
%%DATADIR%%/vlib/v/tests/int_cmp_test.v
@@ -5440,6 +6010,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/maps_equal_test.v
%%DATADIR%%/vlib/v/tests/mark_as_referenced_test.v
%%DATADIR%%/vlib/v/tests/match_aliases_test.v
+%%DATADIR%%/vlib/v/tests/match_array_of_reference_sumtype_test.v
%%DATADIR%%/vlib/v/tests/match_branch_with_array_expression_test.v
%%DATADIR%%/vlib/v/tests/match_case_with_struct_init_test.v
%%DATADIR%%/vlib/v/tests/match_compound_type_cond_test.v
@@ -5477,6 +6048,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/match_return_fn_test.v
%%DATADIR%%/vlib/v/tests/match_smartcast_test.v
%%DATADIR%%/vlib/v/tests/match_struct_type_test.v
+%%DATADIR%%/vlib/v/tests/match_sumtype_arr_test.v
%%DATADIR%%/vlib/v/tests/match_sumtype_var_aggregate_test.v
%%DATADIR%%/vlib/v/tests/match_sumtype_var_aggregate_var_str_test.v
%%DATADIR%%/vlib/v/tests/match_sumtype_var_return_sumtype_test.v
@@ -5500,10 +6072,13 @@ bin/v
%%DATADIR%%/vlib/v/tests/modules/amodule/internal_module_test.v
%%DATADIR%%/vlib/v/tests/modules/amodule/module.v
%%DATADIR%%/vlib/v/tests/modules/another_module/module.v
+%%DATADIR%%/vlib/v/tests/modules/ecs/ecs.v
%%DATADIR%%/vlib/v/tests/modules/geometry/geometry.v
%%DATADIR%%/vlib/v/tests/modules/interface_from_another_module/main_test.v
%%DATADIR%%/vlib/v/tests/modules/interface_from_another_module/mod/mod.v
%%DATADIR%%/vlib/v/tests/modules/methods_struct_another_module/methods_struct_test.v
+%%DATADIR%%/vlib/v/tests/modules/module_a/module_a.v
+%%DATADIR%%/vlib/v/tests/modules/module_b/module_b.v
%%DATADIR%%/vlib/v/tests/modules/simplemodule/importing_test.v
%%DATADIR%%/vlib/v/tests/modules/simplemodule/simplemodule.v
%%DATADIR%%/vlib/v/tests/modules/submodules/submodules.v
@@ -5511,9 +6086,11 @@ bin/v
%%DATADIR%%/vlib/v/tests/modules/submodules/test/test.v
%%DATADIR%%/vlib/v/tests/modules/submodules/test/test2/test2.v
%%DATADIR%%/vlib/v/tests/multi_line_with_options_test.v
+%%DATADIR%%/vlib/v/tests/multiple_arr_fixed_test.v
%%DATADIR%%/vlib/v/tests/multiple_assign_array_index_test.v
%%DATADIR%%/vlib/v/tests/multiple_assign_test.v
%%DATADIR%%/vlib/v/tests/multiple_comptime_tmpl_in_one_fn_test.v
+%%DATADIR%%/vlib/v/tests/multiple_embed_external_interface_test.v
%%DATADIR%%/vlib/v/tests/multiple_embed_struct_init_test.v
%%DATADIR%%/vlib/v/tests/multiple_embed_struct_with_duplicate_field_init_test.v
%%DATADIR%%/vlib/v/tests/multiple_generic_resolve_test.v
@@ -5536,6 +6113,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/nest_defer_fn_test.v
%%DATADIR%%/vlib/v/tests/nested_anonfunc_and_for_break_test.v
%%DATADIR%%/vlib/v/tests/nested_map_index_test.v
+%%DATADIR%%/vlib/v/tests/nested_map_of_fn_call_test.v
%%DATADIR%%/vlib/v/tests/nested_map_test.v
%%DATADIR%%/vlib/v/tests/nested_multiline_comments_test.v
%%DATADIR%%/vlib/v/tests/nested_option_call_test.v
@@ -5550,10 +6128,13 @@ bin/v
%%DATADIR%%/vlib/v/tests/operator_overloading_with_reference_operands_test.v
%%DATADIR%%/vlib/v/tests/operator_overloading_with_string_interpolation_test.v
%%DATADIR%%/vlib/v/tests/option_2_test.v
+%%DATADIR%%/vlib/v/tests/option_alias_eq_test.v
%%DATADIR%%/vlib/v/tests/option_array_dump_in_generic_fn_test.v
%%DATADIR%%/vlib/v/tests/option_array_init_test.v
%%DATADIR%%/vlib/v/tests/option_array_submodule_test.v
%%DATADIR%%/vlib/v/tests/option_array_test.v
+%%DATADIR%%/vlib/v/tests/option_assign_none_test.v
+%%DATADIR%%/vlib/v/tests/option_auto_heap_test.v
%%DATADIR%%/vlib/v/tests/option_call_propagation_test.v
%%DATADIR%%/vlib/v/tests/option_compvar_types_test.v
%%DATADIR%%/vlib/v/tests/option_compvar_val_test.v
@@ -5570,17 +6151,26 @@ bin/v
%%DATADIR%%/vlib/v/tests/option_import_struct_test.v
%%DATADIR%%/vlib/v/tests/option_in_loop_test.v
%%DATADIR%%/vlib/v/tests/option_init_test.v
+%%DATADIR%%/vlib/v/tests/option_map_init_test.v
%%DATADIR%%/vlib/v/tests/option_match_expr_test.v
%%DATADIR%%/vlib/v/tests/option_match_test.v
%%DATADIR%%/vlib/v/tests/option_multi_ret_test.v
+%%DATADIR%%/vlib/v/tests/option_multi_return_assign_test.v
%%DATADIR%%/vlib/v/tests/option_multi_return_test.v
%%DATADIR%%/vlib/v/tests/option_nested_struct_test.v
%%DATADIR%%/vlib/v/tests/option_or_block_test.v
%%DATADIR%%/vlib/v/tests/option_print_errors_test.v
%%DATADIR%%/vlib/v/tests/option_print_ptr_test.v
+%%DATADIR%%/vlib/v/tests/option_ptr_arg_heap_test.v
+%%DATADIR%%/vlib/v/tests/option_ptr_arg_test.v
%%DATADIR%%/vlib/v/tests/option_ptr_cast_test.v
+%%DATADIR%%/vlib/v/tests/option_ptr_generic_test.v
+%%DATADIR%%/vlib/v/tests/option_ptr_iface_test.v
+%%DATADIR%%/vlib/v/tests/option_ptr_init_test.v
+%%DATADIR%%/vlib/v/tests/option_ptr_ptr_test.v
%%DATADIR%%/vlib/v/tests/option_ptr_test.v
%%DATADIR%%/vlib/v/tests/option_push_array_opt_test.v
+%%DATADIR%%/vlib/v/tests/option_selector_cast_test.v
%%DATADIR%%/vlib/v/tests/option_selector_test.v
%%DATADIR%%/vlib/v/tests/option_struct_compare_test.v
%%DATADIR%%/vlib/v/tests/option_struct_init_interface_test.v
@@ -5590,6 +6180,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/option_test.v
%%DATADIR%%/vlib/v/tests/option_unwrap_assign_test.v
%%DATADIR%%/vlib/v/tests/option_unwrap_print_test.v
+%%DATADIR%%/vlib/v/tests/option_unwrap_test.v
%%DATADIR%%/vlib/v/tests/option_var_2_test.v
%%DATADIR%%/vlib/v/tests/option_var_cast_test.v
%%DATADIR%%/vlib/v/tests/option_var_map_test.v
@@ -5808,6 +6399,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/string_interpolation_string_args_test.v
%%DATADIR%%/vlib/v/tests/string_interpolation_string_lit_with_fmt_test.v
%%DATADIR%%/vlib/v/tests/string_interpolation_struct_test.v
+%%DATADIR%%/vlib/v/tests/string_interpolation_struct_with_usize_field_test.v
%%DATADIR%%/vlib/v/tests/string_interpolation_sumtype_test.v
%%DATADIR%%/vlib/v/tests/string_interpolation_test.v
%%DATADIR%%/vlib/v/tests/string_interpolation_variadic_test.v
@@ -5871,6 +6463,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/sumtype_with_none_test.v
%%DATADIR%%/vlib/v/tests/sumtype_with_struct_fn_field_call_test.v
%%DATADIR%%/vlib/v/tests/supports__likely__test.v
+%%DATADIR%%/vlib/v/tests/swap_array_test.v
%%DATADIR%%/vlib/v/tests/tag_autostr_allowrecurse_test.v
%%DATADIR%%/vlib/v/tests/testcase_leak.vv
%%DATADIR%%/vlib/v/tests/testdata/enum_in_builtin/builtin.v
@@ -5988,10 +6581,9 @@ bin/v
%%DATADIR%%/vlib/vweb/README.md
%%DATADIR%%/vlib/vweb/assets/assets.v
%%DATADIR%%/vlib/vweb/assets/assets_test.v
-%%DATADIR%%/vlib/vweb/csrf/create_cookie.v
+%%DATADIR%%/vlib/vweb/csrf/README.md
+%%DATADIR%%/vlib/vweb/csrf/csrf.v
%%DATADIR%%/vlib/vweb/csrf/csrf_test.v
-%%DATADIR%%/vlib/vweb/csrf/protect.v
-%%DATADIR%%/vlib/vweb/csrf/structs.v
%%DATADIR%%/vlib/vweb/parse.v
%%DATADIR%%/vlib/vweb/route_test.v
%%DATADIR%%/vlib/vweb/sse/sse.v
@@ -6015,6 +6607,8 @@ bin/v
%%DATADIR%%/vlib/wasm/tests/block_test.v
%%DATADIR%%/vlib/wasm/tests/call_test.v
%%DATADIR%%/vlib/wasm/tests/common.v
+%%DATADIR%%/vlib/wasm/tests/debug_test.v
+%%DATADIR%%/vlib/wasm/tests/patch_test.v
%%DATADIR%%/vlib/wasm/tests/var_test.v
%%DATADIR%%/vlib/x/README.md
%%DATADIR%%/vlib/x/json2/README.md