aboutsummaryrefslogtreecommitdiff
path: root/lang
diff options
context:
space:
mode:
authorPo-Chuan Hsieh <sunpoet@FreeBSD.org>2024-03-31 03:05:41 +0000
committerPo-Chuan Hsieh <sunpoet@FreeBSD.org>2024-03-31 03:05:41 +0000
commit0299caa80050fe7b32faba95441f7b268a708679 (patch)
tree6b4293f84e08d2677ee35a68c3cda6de6da6e3bf /lang
parentca01006ed407a107fa338f6aeda09f5fe2ce4e8c (diff)
downloadports-0299caa80050fe7b32faba95441f7b268a708679.tar.gz
ports-0299caa80050fe7b32faba95441f7b268a708679.zip
Diffstat (limited to 'lang')
-rw-r--r--lang/v/Makefile6
-rw-r--r--lang/v/distinfo10
-rw-r--r--lang/v/pkg-plist944
3 files changed, 844 insertions, 116 deletions
diff --git a/lang/v/Makefile b/lang/v/Makefile
index 7af3ec7e2060..2ba8d2ac4a72 100644
--- a/lang/v/Makefile
+++ b/lang/v/Makefile
@@ -1,5 +1,5 @@
PORTNAME= v
-PORTVERSION= 0.4.3
+PORTVERSION= 0.4.5
CATEGORIES= lang
MAINTAINER= sunpoet@FreeBSD.org
@@ -18,9 +18,9 @@ TEST_TARGET= check
USE_GITHUB= yes
GH_TUPLE= vlang:v:${PORTVERSION} \
- vlang:vc:760acca:vc/vc
+ vlang:vc:65b8dbe:vc/vc
-PORTSCOUT= skipv:weekly.*
+PORTSCOUT= limit:^[0-9\.]*$$
OPTIONS_DEFINE= DOCS EXAMPLES
diff --git a/lang/v/distinfo b/lang/v/distinfo
index 9496f9726a5c..f2381f8589d9 100644
--- a/lang/v/distinfo
+++ b/lang/v/distinfo
@@ -1,5 +1,5 @@
-TIMESTAMP = 1701363630
-SHA256 (vlang-v-0.4.3_GH0.tar.gz) = 79bbe201fe6f7b98b2f80e405ce1d914b4d28931372bf7f9d30cf9b356e4d4f1
-SIZE (vlang-v-0.4.3_GH0.tar.gz) = 7110780
-SHA256 (vlang-vc-760acca_GH0.tar.gz) = 1d4afb0d953481af2bc40075535ff34f4081250048f5baa67142126804c3715c
-SIZE (vlang-vc-760acca_GH0.tar.gz) = 1816359
+TIMESTAMP = 1711466078
+SHA256 (vlang-v-0.4.5_GH0.tar.gz) = 3082ed68712c7d698e1cc19274b6428dc8c91963096aba01e5ff0321989a3040
+SIZE (vlang-v-0.4.5_GH0.tar.gz) = 9018740
+SHA256 (vlang-vc-65b8dbe_GH0.tar.gz) = 994425769ae4542ec37da816956de1bffac67aad69cee46c274407213885e030
+SIZE (vlang-vc-65b8dbe_GH0.tar.gz) = 1869580
diff --git a/lang/v/pkg-plist b/lang/v/pkg-plist
index 9061f4b9eced..2611e0eef8d3 100644
--- a/lang/v/pkg-plist
+++ b/lang/v/pkg-plist
@@ -107,6 +107,11 @@ bin/v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/gg/draw_pixels.v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/gg/mandelbrot.v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/gg/many_thousands_of_circles.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/gg/minimal.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/gg/path_finding_algorithm_visualizer/LICENSE
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/gg/path_finding_algorithm_visualizer/README.md
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/gg/path_finding_algorithm_visualizer/aStar.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/gg/path_finding_algorithm_visualizer/screenshot.png
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/gg/polygons.v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/gg/random.v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/gg/raven_text_rendering.v
@@ -139,20 +144,20 @@ bin/v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw/README.md
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw/draw.js.v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw/index.html
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_bechmark_chart/README.md
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_bechmark_chart/chart/.gitignore
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_bechmark_chart/chart/Dockerfile
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_bechmark_chart/chart/README.md
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_bechmark_chart/chart/draw.js.v
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_bechmark_chart/chart/favicon.ico
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_bechmark_chart/chart/main.v
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_bechmark_chart/chart/templates/controller/get/all/task.html
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_bechmark_chart/typescript_vanilla_typeorm/.gitignore
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_bechmark_chart/typescript_vanilla_typeorm/package.json
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_bechmark_chart/typescript_vanilla_typeorm/src/index.ts
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_bechmark_chart/typescript_vanilla_typeorm/src/server.js
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_bechmark_chart/typescript_vanilla_typeorm/tsconfig.json
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_bechmark_chart/v_vweb_orm/src/main.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_benchmark_chart/README.md
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_benchmark_chart/chart/.gitignore
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_benchmark_chart/chart/Dockerfile
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_benchmark_chart/chart/README.md
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_benchmark_chart/chart/draw.js.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_benchmark_chart/chart/favicon.ico
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_benchmark_chart/chart/main.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_benchmark_chart/chart/templates/controller/get/all/task.html
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_benchmark_chart/typescript_vanilla_typeorm/.gitignore
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_benchmark_chart/typescript_vanilla_typeorm/package.json
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_benchmark_chart/typescript_vanilla_typeorm/src/index.ts
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_benchmark_chart/typescript_vanilla_typeorm/src/server.js
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_benchmark_chart/typescript_vanilla_typeorm/tsconfig.json
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/js_dom_draw_benchmark_chart/v_vweb_orm/src/main.v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/json.v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/lander.v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/linear_regression/simple_linear_regression.v
@@ -209,10 +214,12 @@ bin/v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/process/command.v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/process/execve.v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/process/process_script.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/process/wrapping_interactive_python.v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/process/write_and_read_from_a_bash_child_process.v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/quadtree_demo/quadtree_demo.v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/quick_sort.v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/random_ips.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/readdir.v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/readline/readline.v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/readline/readline_test.v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/readline/tests/readline.expect
@@ -328,14 +335,16 @@ bin/v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vwatch/cli_clock/main.v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vwatch/web_server/.gitignore
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vwatch/web_server/main.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/cors/vweb_cors_example.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/custom.html
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/file_transform/file_transform.v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/file_transform/index.html
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/file_transform/sample_input.txt
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/file_transform/upload.html
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/file_transform/vweb_example.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/file_upload/file_uploading.v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/file_upload/index.html
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/file_upload/submit.html
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/file_upload/upload.html
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/file_upload/vweb_example.v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/footer.html
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/header.html
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/index.html
@@ -343,18 +352,25 @@ bin/v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/middleware/templates/early.html
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/middleware/templates/index.html
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/middleware/templates/secret.html
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/middleware/vweb_example.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/middleware/using_middleware.v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/server_sent_events/assets/site.css
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/server_sent_events/assets/v-logo.svg
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/server_sent_events/favicon.ico
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/server_sent_events/index.html
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/server_sent_events/server.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/static_website/README.md
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/static_website/dist/another.html
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/static_website/dist/index.html
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/static_website/server.v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/vweb_assets/assets/index.css
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/vweb_assets/assets/v-logo.svg
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/vweb_assets/favicon.ico
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/vweb_assets/index.html
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/vweb_assets/vweb_assets.v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/vweb_example.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/vweb_websocket/assets/websocket_client.js
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/vweb_websocket/index.html
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb/vweb_websocket/vweb_websocket.v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_fullstack/.editorconfig
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_fullstack/.gitattributes
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_fullstack/.gitignore
@@ -395,11 +411,18 @@ bin/v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_orm_jwt/src/user_services.v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/vweb_orm_jwt/src/v.mod
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/wasm/.gitignore
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/wasm/change_color_by_id/README.md
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/wasm/change_color_by_id/change_color_by_id.html
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/wasm/change_color_by_id/change_color_by_id.wasm.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/wasm/change_color_by_id/favicon.ico
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/wasm/change_color_by_id/serve_folder.v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/wasm/functions.v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/wasm/hello_world.v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/wasm/mandelbrot/README.md
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/wasm/mandelbrot/favicon.ico
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/wasm/mandelbrot/mandelbrot.html
-%%PORTEXAMPLES%%%%EXAMPLESDIR%%/wasm/mandelbrot/mandelbrot.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/wasm/mandelbrot/mandelbrot.wasm.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/wasm/mandelbrot/serve_folder.v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/wasm_codegen/add.v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/wasm_codegen/bf_compiler.v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/wasm_codegen/control_flow.v
@@ -415,6 +438,12 @@ bin/v
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/word_counter/README.md
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/word_counter/cinderella.txt
%%PORTEXAMPLES%%%%EXAMPLESDIR%%/word_counter/word_counter.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/xvweb/cors/vweb_cors_example.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/xvweb/todo/.gitignore
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/xvweb/todo/README.md
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/xvweb/todo/assets/main.css
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/xvweb/todo/main.v
+%%PORTEXAMPLES%%%%EXAMPLESDIR%%/xvweb/todo/templates/index.html
%%DATADIR%%/cmd/tools/bench/wyhash.v
%%DATADIR%%/cmd/tools/builders/c_builder.v
%%DATADIR%%/cmd/tools/builders/golang_builder.v
@@ -429,6 +458,7 @@ bin/v
%%DATADIR%%/cmd/tools/fast/fast.v
%%DATADIR%%/cmd/tools/fast/fast_job.v
%%DATADIR%%/cmd/tools/fast/fast_main.js
+%%DATADIR%%/cmd/tools/fast/fast_style.css
%%DATADIR%%/cmd/tools/fast/footer.html
%%DATADIR%%/cmd/tools/fast/header.html
%%DATADIR%%/cmd/tools/fuzz/fuzz.sh
@@ -450,7 +480,6 @@ bin/v
%%DATADIR%%/cmd/tools/oldv.v
%%DATADIR%%/cmd/tools/performance_compare.v
%%DATADIR%%/cmd/tools/regress.v
-%%DATADIR%%/cmd/tools/repeat.v
%%DATADIR%%/cmd/tools/report_v_module_folders_without_tests.v
%%DATADIR%%/cmd/tools/show_ancient_deprecations.v
%%DATADIR%%/cmd/tools/test_if_v_test_system_works.v
@@ -476,29 +505,50 @@ bin/v
%%DATADIR%%/cmd/tools/vcreate/project_model_web.v
%%DATADIR%%/cmd/tools/vcreate/tests/init.expect
%%DATADIR%%/cmd/tools/vcreate/tests/init_in_dir_with_invalid_mod_name.expect
+%%DATADIR%%/cmd/tools/vcreate/tests/init_with_model_arg.expect
%%DATADIR%%/cmd/tools/vcreate/tests/new_with_model_arg.expect
%%DATADIR%%/cmd/tools/vcreate/tests/new_with_name_arg.expect
%%DATADIR%%/cmd/tools/vcreate/tests/new_with_no_arg.expect
%%DATADIR%%/cmd/tools/vcreate/vcreate.v
%%DATADIR%%/cmd/tools/vcreate/vcreate_init_test.v
%%DATADIR%%/cmd/tools/vcreate/vcreate_new_test.v
+%%DATADIR%%/cmd/tools/vdoc/.gitattributes
+%%DATADIR%%/cmd/tools/vdoc/files.v
+%%DATADIR%%/cmd/tools/vdoc/highlight.v
%%DATADIR%%/cmd/tools/vdoc/html.v
-%%DATADIR%%/cmd/tools/vdoc/html_tag_escape_test.v
+%%DATADIR%%/cmd/tools/vdoc/main.v
%%DATADIR%%/cmd/tools/vdoc/markdown.v
+%%DATADIR%%/cmd/tools/vdoc/run_examples.v
%%DATADIR%%/cmd/tools/vdoc/tests/testdata/basic/main.comments.out
%%DATADIR%%/cmd/tools/vdoc/tests/testdata/basic/main.out
%%DATADIR%%/cmd/tools/vdoc/tests/testdata/basic/main.v
+%%DATADIR%%/cmd/tools/vdoc/tests/testdata/comments/main.comments.out
+%%DATADIR%%/cmd/tools/vdoc/tests/testdata/comments/main.out
+%%DATADIR%%/cmd/tools/vdoc/tests/testdata/comments/main.v
%%DATADIR%%/cmd/tools/vdoc/tests/testdata/multiline/main.comments.out
%%DATADIR%%/cmd/tools/vdoc/tests/testdata/multiline/main.out
%%DATADIR%%/cmd/tools/vdoc/tests/testdata/multiline/main.v
%%DATADIR%%/cmd/tools/vdoc/tests/testdata/newlines/main.comments.out
%%DATADIR%%/cmd/tools/vdoc/tests/testdata/newlines/main.out
%%DATADIR%%/cmd/tools/vdoc/tests/testdata/newlines/main.v
+%%DATADIR%%/cmd/tools/vdoc/tests/testdata/output_formats/README.md
+%%DATADIR%%/cmd/tools/vdoc/tests/testdata/output_formats/main.ansi
+%%DATADIR%%/cmd/tools/vdoc/tests/testdata/output_formats/main.html
+%%DATADIR%%/cmd/tools/vdoc/tests/testdata/output_formats/main.text
+%%DATADIR%%/cmd/tools/vdoc/tests/testdata/output_formats/main.v
%%DATADIR%%/cmd/tools/vdoc/tests/testdata/readme_in_project_root/README.md
%%DATADIR%%/cmd/tools/vdoc/tests/testdata/readme_in_project_root/src/main.comments.out
%%DATADIR%%/cmd/tools/vdoc/tests/testdata/readme_in_project_root/src/main.readme.comments.out
%%DATADIR%%/cmd/tools/vdoc/tests/testdata/readme_in_project_root/src/main.v
%%DATADIR%%/cmd/tools/vdoc/tests/testdata/readme_in_project_root/v.mod
+%%DATADIR%%/cmd/tools/vdoc/tests/testdata/run_examples_bad/.v.mod.stop
+%%DATADIR%%/cmd/tools/vdoc/tests/testdata/run_examples_bad/main.comments.out
+%%DATADIR%%/cmd/tools/vdoc/tests/testdata/run_examples_bad/main.out
+%%DATADIR%%/cmd/tools/vdoc/tests/testdata/run_examples_bad/main.v
+%%DATADIR%%/cmd/tools/vdoc/tests/testdata/run_examples_good/.v.mod.stop
+%%DATADIR%%/cmd/tools/vdoc/tests/testdata/run_examples_good/main.comments.out
+%%DATADIR%%/cmd/tools/vdoc/tests/testdata/run_examples_good/main.out
+%%DATADIR%%/cmd/tools/vdoc/tests/testdata/run_examples_good/main.v
%%DATADIR%%/cmd/tools/vdoc/tests/testdata/unsorted/main.out
%%DATADIR%%/cmd/tools/vdoc/tests/testdata/unsorted/main.unsorted.out
%%DATADIR%%/cmd/tools/vdoc/tests/testdata/unsorted/main.v
@@ -538,12 +588,24 @@ bin/v
%%DATADIR%%/cmd/tools/vmissdoc.v
%%DATADIR%%/cmd/tools/vpm/common.v
%%DATADIR%%/cmd/tools/vpm/dependency_test.v
+%%DATADIR%%/cmd/tools/vpm/expect/accept_reinstall_mod_with_version_installation.expect
+%%DATADIR%%/cmd/tools/vpm/expect/decline_reinstall_mod_with_version_installation.expect
%%DATADIR%%/cmd/tools/vpm/install.v
%%DATADIR%%/cmd/tools/vpm/install_test.v
+%%DATADIR%%/cmd/tools/vpm/install_version_input_test.v
+%%DATADIR%%/cmd/tools/vpm/install_version_test.v
+%%DATADIR%%/cmd/tools/vpm/outdated.v
+%%DATADIR%%/cmd/tools/vpm/outdated_test.v
+%%DATADIR%%/cmd/tools/vpm/parse.v
+%%DATADIR%%/cmd/tools/vpm/remove_test.v
%%DATADIR%%/cmd/tools/vpm/search.v
%%DATADIR%%/cmd/tools/vpm/settings.v
+%%DATADIR%%/cmd/tools/vpm/test_utils/utils.v
%%DATADIR%%/cmd/tools/vpm/update.v
+%%DATADIR%%/cmd/tools/vpm/update_test.v
+%%DATADIR%%/cmd/tools/vpm/vcs.v
%%DATADIR%%/cmd/tools/vpm/vpm.v
+%%DATADIR%%/cmd/tools/vrepeat.v
%%DATADIR%%/cmd/tools/vrepl.v
%%DATADIR%%/cmd/tools/vscan.v
%%DATADIR%%/cmd/tools/vself.v
@@ -596,6 +658,7 @@ bin/v
%%DATADIR%%/cmd/tools/vwhere/vwhere_test.v
%%DATADIR%%/cmd/tools/vwipe-cache.v
%%DATADIR%%/cmd/v/v.v
+%%DATADIR%%/cmd/v2/v2.v
%%DATADIR%%/thirdparty/.gitignore
%%DATADIR%%/thirdparty/android/android.h
%%DATADIR%%/thirdparty/cJSON/cJSON.c
@@ -694,14 +757,18 @@ bin/v
%%DATADIR%%/thirdparty/libgc/include/gc/cord_pos.h
%%DATADIR%%/thirdparty/libgc/include/gc/ec.h
%%DATADIR%%/thirdparty/libgc/include/gc/gc.h
+%%DATADIR%%/thirdparty/libgc/include/gc/gc_allocator.h
%%DATADIR%%/thirdparty/libgc/include/gc/gc_backptr.h
%%DATADIR%%/thirdparty/libgc/include/gc/gc_config_macros.h
+%%DATADIR%%/thirdparty/libgc/include/gc/gc_disclaim.h
+%%DATADIR%%/thirdparty/libgc/include/gc/gc_gcj.h
%%DATADIR%%/thirdparty/libgc/include/gc/gc_inline.h
%%DATADIR%%/thirdparty/libgc/include/gc/gc_mark.h
%%DATADIR%%/thirdparty/libgc/include/gc/gc_pthread_redirects.h
%%DATADIR%%/thirdparty/libgc/include/gc/gc_tiny_fl.h
%%DATADIR%%/thirdparty/libgc/include/gc/gc_typed.h
%%DATADIR%%/thirdparty/libgc/include/gc/gc_version.h
+%%DATADIR%%/thirdparty/libgc/include/gc/javaxfc.h
%%DATADIR%%/thirdparty/libgc/include/gc/leak_detector.h
%%DATADIR%%/thirdparty/libgc/include/gc.h
%%DATADIR%%/thirdparty/mbedtls/3rdparty/everest/README.md
@@ -979,6 +1046,8 @@ bin/v
%%DATADIR%%/thirdparty/zip/miniz.h
%%DATADIR%%/thirdparty/zip/zip.c
%%DATADIR%%/thirdparty/zip/zip.h
+%%DATADIR%%/thirdparty/zstd/README.md
+%%DATADIR%%/thirdparty/zstd/zstd.c
%%DATADIR%%/v
%%DATADIR%%/v.mod
%%DATADIR%%/vlib/.vdocignore
@@ -997,10 +1066,10 @@ bin/v
%%DATADIR%%/vlib/bitfield/bitfield.v
%%DATADIR%%/vlib/bitfield/bitfield_test.v
%%DATADIR%%/vlib/builtin/README.md
-%%DATADIR%%/vlib/builtin/array.c.v
%%DATADIR%%/vlib/builtin/array.v
%%DATADIR%%/vlib/builtin/array_d_gcboehm_opt.v
%%DATADIR%%/vlib/builtin/array_flags_test.v
+%%DATADIR%%/vlib/builtin/array_index_test.v
%%DATADIR%%/vlib/builtin/array_notd_gcboehm_opt.v
%%DATADIR%%/vlib/builtin/array_sorted_test.v
%%DATADIR%%/vlib/builtin/array_test.v
@@ -1019,6 +1088,7 @@ bin/v
%%DATADIR%%/vlib/builtin/builtin_notd_gcboehm.c.v
%%DATADIR%%/vlib/builtin/builtin_notd_use_libbacktrace.c.v
%%DATADIR%%/vlib/builtin/builtin_test.c.v
+%%DATADIR%%/vlib/builtin/builtin_unbuffer_stdout_test.v
%%DATADIR%%/vlib/builtin/builtin_windows.c.v
%%DATADIR%%/vlib/builtin/byte_test.v
%%DATADIR%%/vlib/builtin/cfns.c.v
@@ -1138,9 +1208,48 @@ bin/v
%%DATADIR%%/vlib/compress/gzip/README.md
%%DATADIR%%/vlib/compress/gzip/gzip.v
%%DATADIR%%/vlib/compress/gzip/gzip_test.v
+%%DATADIR%%/vlib/compress/gzip/read_gz_files_test.v
+%%DATADIR%%/vlib/compress/gzip/samples/known.gz
+%%DATADIR%%/vlib/compress/gzip/samples/pwgen_13_20_1.gz
+%%DATADIR%%/vlib/compress/gzip/samples/pwgen_13_20_2.gz
+%%DATADIR%%/vlib/compress/gzip/samples/pwgen_13_20_3.gz
+%%DATADIR%%/vlib/compress/gzip/samples/rand1.gz
+%%DATADIR%%/vlib/compress/gzip/samples/rand2.gz
+%%DATADIR%%/vlib/compress/gzip/samples/rand3.gz
+%%DATADIR%%/vlib/compress/gzip/samples/readme_level_1.gz
+%%DATADIR%%/vlib/compress/gzip/samples/readme_level_5.gz
+%%DATADIR%%/vlib/compress/gzip/samples/readme_level_9.gz
+%%DATADIR%%/vlib/compress/gzip/samples/readme_level_9_rsyncable.gz
+%%DATADIR%%/vlib/compress/szip/README.md
+%%DATADIR%%/vlib/compress/szip/szip.c.v
+%%DATADIR%%/vlib/compress/szip/szip_test.v
%%DATADIR%%/vlib/compress/zlib/README.md
%%DATADIR%%/vlib/compress/zlib/zlib.v
%%DATADIR%%/vlib/compress/zlib/zlib_test.v
+%%DATADIR%%/vlib/compress/zstd/README.md
+%%DATADIR%%/vlib/compress/zstd/read_zstd_files_test.v
+%%DATADIR%%/vlib/compress/zstd/samples/known.zst
+%%DATADIR%%/vlib/compress/zstd/samples/readme_level_1.zst
+%%DATADIR%%/vlib/compress/zstd/samples/readme_level_10.zst
+%%DATADIR%%/vlib/compress/zstd/samples/readme_level_11.zst
+%%DATADIR%%/vlib/compress/zstd/samples/readme_level_12.zst
+%%DATADIR%%/vlib/compress/zstd/samples/readme_level_13.zst
+%%DATADIR%%/vlib/compress/zstd/samples/readme_level_14.zst
+%%DATADIR%%/vlib/compress/zstd/samples/readme_level_15.zst
+%%DATADIR%%/vlib/compress/zstd/samples/readme_level_16.zst
+%%DATADIR%%/vlib/compress/zstd/samples/readme_level_17.zst
+%%DATADIR%%/vlib/compress/zstd/samples/readme_level_18.zst
+%%DATADIR%%/vlib/compress/zstd/samples/readme_level_19.zst
+%%DATADIR%%/vlib/compress/zstd/samples/readme_level_2.zst
+%%DATADIR%%/vlib/compress/zstd/samples/readme_level_3.zst
+%%DATADIR%%/vlib/compress/zstd/samples/readme_level_4.zst
+%%DATADIR%%/vlib/compress/zstd/samples/readme_level_5.zst
+%%DATADIR%%/vlib/compress/zstd/samples/readme_level_6.zst
+%%DATADIR%%/vlib/compress/zstd/samples/readme_level_7.zst
+%%DATADIR%%/vlib/compress/zstd/samples/readme_level_8.zst
+%%DATADIR%%/vlib/compress/zstd/samples/readme_level_9.zst
+%%DATADIR%%/vlib/compress/zstd/zstd.v
+%%DATADIR%%/vlib/compress/zstd/zstd_test.v
%%DATADIR%%/vlib/context/README.md
%%DATADIR%%/vlib/context/cancel.v
%%DATADIR%%/vlib/context/cancel_test.v
@@ -1155,6 +1264,7 @@ bin/v
%%DATADIR%%/vlib/context/value.v
%%DATADIR%%/vlib/context/value_test.v
%%DATADIR%%/vlib/coroutines/coroutines.c.v
+%%DATADIR%%/vlib/coroutines/sp_corrector.c
%%DATADIR%%/vlib/crypto/README.md
%%DATADIR%%/vlib/crypto/aes/aes.v
%%DATADIR%%/vlib/crypto/aes/aes_cbc.v
@@ -1165,6 +1275,30 @@ bin/v
%%DATADIR%%/vlib/crypto/bcrypt/base64.v
%%DATADIR%%/vlib/crypto/bcrypt/bcrypt.v
%%DATADIR%%/vlib/crypto/bcrypt/bcrypt_test.v
+%%DATADIR%%/vlib/crypto/blake2b/blake2b.v
+%%DATADIR%%/vlib/crypto/blake2b/blake2b_block_generic.v
+%%DATADIR%%/vlib/crypto/blake2b/blake2b_block_test.v
+%%DATADIR%%/vlib/crypto/blake2b/blake2b_test.v
+%%DATADIR%%/vlib/crypto/blake2b/testdata/README
+%%DATADIR%%/vlib/crypto/blake2b/testdata/blake2b.awk
+%%DATADIR%%/vlib/crypto/blake2b/testdata/blake2b_test_vectors.json
+%%DATADIR%%/vlib/crypto/blake2b/testdata/test_vectors.v
+%%DATADIR%%/vlib/crypto/blake2s/blake2s.v
+%%DATADIR%%/vlib/crypto/blake2s/blake2s_block_generic.v
+%%DATADIR%%/vlib/crypto/blake2s/blake2s_block_test.v
+%%DATADIR%%/vlib/crypto/blake2s/blake2s_test.v
+%%DATADIR%%/vlib/crypto/blake2s/testdata/README
+%%DATADIR%%/vlib/crypto/blake2s/testdata/blake2s.awk
+%%DATADIR%%/vlib/crypto/blake2s/testdata/blake2s_test_vectors.json
+%%DATADIR%%/vlib/crypto/blake2s/testdata/test_vectors.v
+%%DATADIR%%/vlib/crypto/blake3/blake3.v
+%%DATADIR%%/vlib/crypto/blake3/blake3_block_generic.v
+%%DATADIR%%/vlib/crypto/blake3/blake3_block_test.v
+%%DATADIR%%/vlib/crypto/blake3/blake3_chunk.v
+%%DATADIR%%/vlib/crypto/blake3/blake3_chunk_test.v
+%%DATADIR%%/vlib/crypto/blake3/blake3_test.v
+%%DATADIR%%/vlib/crypto/blake3/testdata/README
+%%DATADIR%%/vlib/crypto/blake3/testdata/test_vectors.json
%%DATADIR%%/vlib/crypto/blowfish/block.v
%%DATADIR%%/vlib/crypto/blowfish/blowfish.v
%%DATADIR%%/vlib/crypto/blowfish/blowfish_test.v
@@ -1285,6 +1419,7 @@ bin/v
%%DATADIR%%/vlib/db/mysql/mysql_orm_test.v
%%DATADIR%%/vlib/db/mysql/mysql_test.v
%%DATADIR%%/vlib/db/mysql/orm.c.v
+%%DATADIR%%/vlib/db/mysql/prepared_stmt_test.v
%%DATADIR%%/vlib/db/mysql/result.c.v
%%DATADIR%%/vlib/db/mysql/stmt.c.v
%%DATADIR%%/vlib/db/mysql/utils.c.v
@@ -1293,7 +1428,9 @@ bin/v
%%DATADIR%%/vlib/db/pg/oid.v
%%DATADIR%%/vlib/db/pg/orm.v
%%DATADIR%%/vlib/db/pg/pg.c.v
+%%DATADIR%%/vlib/db/pg/pg_double_test.v
%%DATADIR%%/vlib/db/pg/pg_orm_test.v
+%%DATADIR%%/vlib/db/pg/pg_test.v
%%DATADIR%%/vlib/db/sqlite/README.md
%%DATADIR%%/vlib/db/sqlite/orm.v
%%DATADIR%%/vlib/db/sqlite/result_code.v
@@ -1329,13 +1466,23 @@ bin/v
%%DATADIR%%/vlib/encoding/base64/base64_test.v
%%DATADIR%%/vlib/encoding/binary/README.md
%%DATADIR%%/vlib/encoding/binary/big_endian.v
+%%DATADIR%%/vlib/encoding/binary/big_endian_fixed.v
+%%DATADIR%%/vlib/encoding/binary/big_endian_fixed_test.v
%%DATADIR%%/vlib/encoding/binary/big_endian_test.v
%%DATADIR%%/vlib/encoding/binary/little_endian.v
+%%DATADIR%%/vlib/encoding/binary/little_endian_fixed.v
+%%DATADIR%%/vlib/encoding/binary/little_endian_fixed_test.v
%%DATADIR%%/vlib/encoding/binary/little_endian_test.v
%%DATADIR%%/vlib/encoding/csv/README.md
+%%DATADIR%%/vlib/encoding/csv/README_csv_reader.md
+%%DATADIR%%/vlib/encoding/csv/csv_reader_random_access.v
+%%DATADIR%%/vlib/encoding/csv/csv_reader_sequential.v
+%%DATADIR%%/vlib/encoding/csv/csv_reader_test.v
%%DATADIR%%/vlib/encoding/csv/reader.v
%%DATADIR%%/vlib/encoding/csv/reader_test.v
%%DATADIR%%/vlib/encoding/csv/to_struct_arr.v
+%%DATADIR%%/vlib/encoding/csv/utils.v
+%%DATADIR%%/vlib/encoding/csv/utils_test.v
%%DATADIR%%/vlib/encoding/csv/writer.v
%%DATADIR%%/vlib/encoding/csv/writer_test.v
%%DATADIR%%/vlib/encoding/hex/hex.v
@@ -1345,6 +1492,10 @@ bin/v
%%DATADIR%%/vlib/encoding/html/named_references.v
%%DATADIR%%/vlib/encoding/leb128/leb128.v
%%DATADIR%%/vlib/encoding/leb128/leb128_test.v
+%%DATADIR%%/vlib/encoding/txtar/README.md
+%%DATADIR%%/vlib/encoding/txtar/pack_unpack.v
+%%DATADIR%%/vlib/encoding/txtar/txtar.v
+%%DATADIR%%/vlib/encoding/txtar/txtar_test.v
%%DATADIR%%/vlib/encoding/utf8/east_asian/east_asian_width.v
%%DATADIR%%/vlib/encoding/utf8/east_asian/east_asian_width_test.v
%%DATADIR%%/vlib/encoding/utf8/encoding_utf8_test.v
@@ -1354,10 +1505,13 @@ bin/v
%%DATADIR%%/vlib/encoding/utf8/utf8_util_test.v
%%DATADIR%%/vlib/encoding/xml/README.md
%%DATADIR%%/vlib/encoding/xml/encoding.v
+%%DATADIR%%/vlib/encoding/xml/encoding_test.v
%%DATADIR%%/vlib/encoding/xml/entity.v
%%DATADIR%%/vlib/encoding/xml/entity_test.v
%%DATADIR%%/vlib/encoding/xml/parser.v
+%%DATADIR%%/vlib/encoding/xml/parser_test.v
%%DATADIR%%/vlib/encoding/xml/query.v
+%%DATADIR%%/vlib/encoding/xml/query_test.v
%%DATADIR%%/vlib/encoding/xml/reader_util.v
%%DATADIR%%/vlib/encoding/xml/test/gtk/gtk_test.v
%%DATADIR%%/vlib/encoding/xml/test/local/01_mdn_example/hello_world.xml
@@ -1396,8 +1550,10 @@ bin/v
%%DATADIR%%/vlib/encoding/xml/test/local/17_incomplete_element_1/expected_error.txt
%%DATADIR%%/vlib/encoding/xml/test/local/18_incomplete_element_2/element.xml
%%DATADIR%%/vlib/encoding/xml/test/local/18_incomplete_element_2/expected_error.txt
-%%DATADIR%%/vlib/encoding/xml/test/local/18_single_letter_tag/shared.xml
-%%DATADIR%%/vlib/encoding/xml/test/local/18_single_letter_tag/shared_test.v
+%%DATADIR%%/vlib/encoding/xml/test/local/19_single_letter_tag/shared.xml
+%%DATADIR%%/vlib/encoding/xml/test/local/19_single_letter_tag/shared_test.v
+%%DATADIR%%/vlib/encoding/xml/test/local/20_bom_file/bom_test.v
+%%DATADIR%%/vlib/encoding/xml/test/local/20_bom_file/workbook.bin
%%DATADIR%%/vlib/encoding/xml/test/spec_test.v
%%DATADIR%%/vlib/encoding/xml/types.v
%%DATADIR%%/vlib/encoding/xml/validation.v
@@ -1487,6 +1643,8 @@ bin/v
%%DATADIR%%/vlib/io/reader.v
%%DATADIR%%/vlib/io/reader_test.v
%%DATADIR%%/vlib/io/readerwriter.v
+%%DATADIR%%/vlib/io/string_reader/string_reader.v
+%%DATADIR%%/vlib/io/string_reader/string_reader_test.v
%%DATADIR%%/vlib/io/util/util.v
%%DATADIR%%/vlib/io/util/util_test.v
%%DATADIR%%/vlib/io/writer.v
@@ -1499,6 +1657,8 @@ bin/v
%%DATADIR%%/vlib/json/cjson/cjson_test.v
%%DATADIR%%/vlib/json/cjson/cjson_wrapper.c.v
%%DATADIR%%/vlib/json/json_alias_test.v
+%%DATADIR%%/vlib/json/json_decode_option_enum_test.v
+%%DATADIR%%/vlib/json/json_decode_struct_ptr_test.v
%%DATADIR%%/vlib/json/json_decode_test.v
%%DATADIR%%/vlib/json/json_decode_with_encode_arg_test.v
%%DATADIR%%/vlib/json/json_decode_with_generic_array_test.v
@@ -1508,6 +1668,7 @@ bin/v
%%DATADIR%%/vlib/json/json_encode_enum_test.v
%%DATADIR%%/vlib/json/json_encode_map_test.v
%%DATADIR%%/vlib/json/json_encode_primite_test.v
+%%DATADIR%%/vlib/json/json_encode_recursive_ptr_test.v
%%DATADIR%%/vlib/json/json_encode_struct_with_option_field_test.v
%%DATADIR%%/vlib/json/json_encode_sumtype_test.v
%%DATADIR%%/vlib/json/json_encode_with_mut_test.v
@@ -1523,12 +1684,14 @@ bin/v
%%DATADIR%%/vlib/json/json_primitives.c.v
%%DATADIR%%/vlib/json/json_raw_test.v
%%DATADIR%%/vlib/json/json_struct_option_test.v
+%%DATADIR%%/vlib/json/json_sumtype_test.v
%%DATADIR%%/vlib/json/json_test.v
%%DATADIR%%/vlib/log/README.md
%%DATADIR%%/vlib/log/common.v
%%DATADIR%%/vlib/log/default.c.v
%%DATADIR%%/vlib/log/default.v
%%DATADIR%%/vlib/log/default_test.v
+%%DATADIR%%/vlib/log/file_log_test.v
%%DATADIR%%/vlib/log/log.v
%%DATADIR%%/vlib/log/log_test.v
%%DATADIR%%/vlib/log/logger_interface.v
@@ -1647,6 +1810,7 @@ bin/v
%%DATADIR%%/vlib/net/conv/README.md
%%DATADIR%%/vlib/net/conv/conv.v
%%DATADIR%%/vlib/net/conv/conv_test.v
+%%DATADIR%%/vlib/net/dial_tcp_with_bind_test.v
%%DATADIR%%/vlib/net/errors.c.v
%%DATADIR%%/vlib/net/ftp/ftp.v
%%DATADIR%%/vlib/net/ftp/ftp_test.v
@@ -1660,8 +1824,8 @@ bin/v
%%DATADIR%%/vlib/net/html/parser_test.v
%%DATADIR%%/vlib/net/html/tag.v
%%DATADIR%%/vlib/net/html/tag_test.v
-%%DATADIR%%/vlib/net/http/backend_nix.c.v
-%%DATADIR%%/vlib/net/http/backend_windows.c.v
+%%DATADIR%%/vlib/net/http/backend.c.v
+%%DATADIR%%/vlib/net/http/backend_vschannel_windows.c.v
%%DATADIR%%/vlib/net/http/chunked/dechunk.v
%%DATADIR%%/vlib/net/http/chunked/dechunk_test.v
%%DATADIR%%/vlib/net/http/cookie.v
@@ -1669,6 +1833,8 @@ bin/v
%%DATADIR%%/vlib/net/http/download.v
%%DATADIR%%/vlib/net/http/download_nix.c.v
%%DATADIR%%/vlib/net/http/download_windows.c.v
+%%DATADIR%%/vlib/net/http/file/entity.v
+%%DATADIR%%/vlib/net/http/file/folder_index.v
%%DATADIR%%/vlib/net/http/file/static_server.v
%%DATADIR%%/vlib/net/http/header.v
%%DATADIR%%/vlib/net/http/header_test.v
@@ -1712,6 +1878,7 @@ bin/v
%%DATADIR%%/vlib/net/ssl/ssl_d_use_openssl.v
%%DATADIR%%/vlib/net/ssl/ssl_notd_use_openssl.v
%%DATADIR%%/vlib/net/tcp.c.v
+%%DATADIR%%/vlib/net/tcp_non_blocking_test.v
%%DATADIR%%/vlib/net/tcp_read_line.c.v
%%DATADIR%%/vlib/net/tcp_self_dial_from_many_clients_test.v
%%DATADIR%%/vlib/net/tcp_simple_client_server_test.v
@@ -1772,6 +1939,8 @@ bin/v
%%DATADIR%%/vlib/orm/orm_last_id_test.v
%%DATADIR%%/vlib/orm/orm_mut_db_test.v
%%DATADIR%%/vlib/orm/orm_null_test.v
+%%DATADIR%%/vlib/orm/orm_option_array_test.v
+%%DATADIR%%/vlib/orm/orm_option_time_test.v
%%DATADIR%%/vlib/orm/orm_references_test.v
%%DATADIR%%/vlib/orm/orm_result_test.v
%%DATADIR%%/vlib/orm/orm_sql_or_blocks_test.v
@@ -1797,6 +1966,8 @@ bin/v
%%DATADIR%%/vlib/os/fd.c.v
%%DATADIR%%/vlib/os/file.c.v
%%DATADIR%%/vlib/os/file.js.v
+%%DATADIR%%/vlib/os/file_buffering.c.v
+%%DATADIR%%/vlib/os/file_buffering_test.v
%%DATADIR%%/vlib/os/file_test.v
%%DATADIR%%/vlib/os/filelock/filelock_test.v
%%DATADIR%%/vlib/os/filelock/lib.v
@@ -1816,7 +1987,8 @@ bin/v
%%DATADIR%%/vlib/os/notify/epoll.h
%%DATADIR%%/vlib/os/notify/kqueue.h
%%DATADIR%%/vlib/os/notify/notify.v
-%%DATADIR%%/vlib/os/notify/notify_test.v
+%%DATADIR%%/vlib/os/notify/notify_test.c.v
+%%DATADIR%%/vlib/os/open_and_read_from_file_test.js.v
%%DATADIR%%/vlib/os/open_uri_default.c.v
%%DATADIR%%/vlib/os/open_uri_windows.c.v
%%DATADIR%%/vlib/os/os.c.v
@@ -1827,12 +1999,16 @@ bin/v
%%DATADIR%%/vlib/os/os_js.js.v
%%DATADIR%%/vlib/os/os_linux.c.v
%%DATADIR%%/vlib/os/os_nix.c.v
+%%DATADIR%%/vlib/os/os_stat_default.c.v
+%%DATADIR%%/vlib/os/os_stat_test.v
+%%DATADIR%%/vlib/os/os_stat_windows.c.v
%%DATADIR%%/vlib/os/os_structs_dirent_default.c.v
%%DATADIR%%/vlib/os/os_structs_sigaction_default.c.v
%%DATADIR%%/vlib/os/os_structs_stat_default.c.v
%%DATADIR%%/vlib/os/os_structs_stat_linux.c.v
+%%DATADIR%%/vlib/os/os_structs_stat_windows.v
%%DATADIR%%/vlib/os/os_structs_utsname_default.c.v
-%%DATADIR%%/vlib/os/os_test.v
+%%DATADIR%%/vlib/os/os_test.c.v
%%DATADIR%%/vlib/os/os_windows.c.v
%%DATADIR%%/vlib/os/password_nix.c.v
%%DATADIR%%/vlib/os/password_windows.c.v
@@ -1847,6 +2023,7 @@ bin/v
%%DATADIR%%/vlib/os/signal.v
%%DATADIR%%/vlib/os/signal_darwin.c.v
%%DATADIR%%/vlib/os/signal_default.c.v
+%%DATADIR%%/vlib/os/signal_linux.c.v
%%DATADIR%%/vlib/os/signal_test.v
%%DATADIR%%/vlib/os/signal_windows.c.v
%%DATADIR%%/vlib/picoev/README.md
@@ -1855,12 +2032,15 @@ bin/v
%%DATADIR%%/vlib/picoev/loop_linux.c.v
%%DATADIR%%/vlib/picoev/loop_macos.c.v
%%DATADIR%%/vlib/picoev/picoev.v
+%%DATADIR%%/vlib/picoev/picoev_test.v
%%DATADIR%%/vlib/picoev/socket_util.c.v
%%DATADIR%%/vlib/picohttpparser/README.md
%%DATADIR%%/vlib/picohttpparser/misc.v
+%%DATADIR%%/vlib/picohttpparser/misc_test.v
%%DATADIR%%/vlib/picohttpparser/picohttpparser.v
%%DATADIR%%/vlib/picohttpparser/request.v
-%%DATADIR%%/vlib/picohttpparser/response.v
+%%DATADIR%%/vlib/picohttpparser/request_test.v
+%%DATADIR%%/vlib/picohttpparser/response.c.v
%%DATADIR%%/vlib/rand/README.md
%%DATADIR%%/vlib/rand/buffer/buffer.v
%%DATADIR%%/vlib/rand/config/config.v
@@ -1925,7 +2105,7 @@ bin/v
%%DATADIR%%/vlib/semver/util.v
%%DATADIR%%/vlib/semver/v.mod
%%DATADIR%%/vlib/sokol/README.md
-%%DATADIR%%/vlib/sokol/audio/audio.v
+%%DATADIR%%/vlib/sokol/audio/audio.c.v
%%DATADIR%%/vlib/sokol/c/declaration.c.v
%%DATADIR%%/vlib/sokol/f/f.v
%%DATADIR%%/vlib/sokol/gfx/enums.v
@@ -1934,7 +2114,7 @@ bin/v
%%DATADIR%%/vlib/sokol/gfx/gfx_funcs.c.v
%%DATADIR%%/vlib/sokol/gfx/gfx_structs.c.v
%%DATADIR%%/vlib/sokol/gfx/gfx_utils.c.v
-%%DATADIR%%/vlib/sokol/memory/memory.v
+%%DATADIR%%/vlib/sokol/memory/memory.c.v
%%DATADIR%%/vlib/sokol/sapp/enums.c.v
%%DATADIR%%/vlib/sokol/sapp/sapp.c.v
%%DATADIR%%/vlib/sokol/sapp/sapp_allocator_and_logger.c.v
@@ -1957,7 +2137,7 @@ bin/v
%%DATADIR%%/vlib/strconv/README.md
%%DATADIR%%/vlib/strconv/atof.c.v
%%DATADIR%%/vlib/strconv/atof.js.v
-%%DATADIR%%/vlib/strconv/atof_test.v
+%%DATADIR%%/vlib/strconv/atof_test.c.v
%%DATADIR%%/vlib/strconv/atofq.c.v
%%DATADIR%%/vlib/strconv/atoi.v
%%DATADIR%%/vlib/strconv/atoi_test.v
@@ -2029,12 +2209,14 @@ bin/v
%%DATADIR%%/vlib/sync/empty_struct_chan_init_test.v
%%DATADIR%%/vlib/sync/many_times.v
%%DATADIR%%/vlib/sync/many_times_test.v
+%%DATADIR%%/vlib/sync/mutex_test.v
%%DATADIR%%/vlib/sync/once.v
%%DATADIR%%/vlib/sync/once_test.v
%%DATADIR%%/vlib/sync/once_with_param_test.v
%%DATADIR%%/vlib/sync/pool/README.md
-%%DATADIR%%/vlib/sync/pool/pool.v
+%%DATADIR%%/vlib/sync/pool/pool.c.v
%%DATADIR%%/vlib/sync/pool/pool_test.v
+%%DATADIR%%/vlib/sync/rwmutex_test.v
%%DATADIR%%/vlib/sync/select_close_test.v
%%DATADIR%%/vlib/sync/stdatomic/1.declarations.c.v
%%DATADIR%%/vlib/sync/stdatomic/atomic.c.v
@@ -2043,6 +2225,7 @@ bin/v
%%DATADIR%%/vlib/sync/sync.c.v
%%DATADIR%%/vlib/sync/sync_darwin.c.v
%%DATADIR%%/vlib/sync/sync_default.c.v
+%%DATADIR%%/vlib/sync/sync_freebsd.c.v
%%DATADIR%%/vlib/sync/sync_windows.c.v
%%DATADIR%%/vlib/sync/thread_default.c.v
%%DATADIR%%/vlib/sync/thread_test.v
@@ -2052,7 +2235,7 @@ bin/v
%%DATADIR%%/vlib/sync/waitgroup.c.v
%%DATADIR%%/vlib/sync/waitgroup_test.v
%%DATADIR%%/vlib/szip/README.md
-%%DATADIR%%/vlib/szip/szip.v
+%%DATADIR%%/vlib/szip/szip.c.v
%%DATADIR%%/vlib/szip/szip_test.v
%%DATADIR%%/vlib/term/README.md
%%DATADIR%%/vlib/term/colors.v
@@ -2073,7 +2256,7 @@ bin/v
%%DATADIR%%/vlib/term/termios/termios_openbsd.c.v
%%DATADIR%%/vlib/term/termios/termios_qnx.c.v
%%DATADIR%%/vlib/term/termios/termios_solaris.c.v
-%%DATADIR%%/vlib/term/termios/termios_test.v
+%%DATADIR%%/vlib/term/termios/termios_test.c.v
%%DATADIR%%/vlib/term/termios/termios_windows.c.v
%%DATADIR%%/vlib/term/ui/1_term_and_ui_compilation_test.v
%%DATADIR%%/vlib/term/ui/2_term_and_ui_compilation_test.v
@@ -2084,9 +2267,8 @@ bin/v
%%DATADIR%%/vlib/term/ui/input_nix.c.v
%%DATADIR%%/vlib/term/ui/input_windows.c.v
%%DATADIR%%/vlib/term/ui/termios_nix.c.v
-%%DATADIR%%/vlib/term/ui/ui.v
+%%DATADIR%%/vlib/term/ui/ui.c.v
%%DATADIR%%/vlib/term/ui/ui_test.v
-%%DATADIR%%/vlib/term/utf8.c.v
%%DATADIR%%/vlib/term/utf8.v
%%DATADIR%%/vlib/time/README.md
%%DATADIR%%/vlib/time/Y2K38_test.v
@@ -2105,7 +2287,7 @@ bin/v
%%DATADIR%%/vlib/time/parse.js.v
%%DATADIR%%/vlib/time/parse.v
%%DATADIR%%/vlib/time/parse_test.v
-%%DATADIR%%/vlib/time/private_test.v
+%%DATADIR%%/vlib/time/private_test.c.v
%%DATADIR%%/vlib/time/relative_test.v
%%DATADIR%%/vlib/time/stopwatch.v
%%DATADIR%%/vlib/time/stopwatch_test.v
@@ -2318,20 +2500,24 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/array_filter_map_array_expression_as_argument_err.vv
%%DATADIR%%/vlib/v/checker/tests/array_filter_map_option_function_err.out
%%DATADIR%%/vlib/v/checker/tests/array_filter_map_option_function_err.vv
+%%DATADIR%%/vlib/v/checker/tests/array_fixed_no_free_method_call_err.out
+%%DATADIR%%/vlib/v/checker/tests/array_fixed_no_free_method_call_err.vv
%%DATADIR%%/vlib/v/checker/tests/array_index.out
%%DATADIR%%/vlib/v/checker/tests/array_index.vv
%%DATADIR%%/vlib/v/checker/tests/array_index_args_err.out
%%DATADIR%%/vlib/v/checker/tests/array_index_args_err.vv
+%%DATADIR%%/vlib/v/checker/tests/array_init_element_option_mismatch_err.out
+%%DATADIR%%/vlib/v/checker/tests/array_init_element_option_mismatch_err.vv
%%DATADIR%%/vlib/v/checker/tests/array_init_option_err.out
%%DATADIR%%/vlib/v/checker/tests/array_init_option_err.vv
%%DATADIR%%/vlib/v/checker/tests/array_init_ptr_non_ptr_elem_err.out
%%DATADIR%%/vlib/v/checker/tests/array_init_ptr_non_ptr_elem_err.vv
-%%DATADIR%%/vlib/v/checker/tests/array_init_sum_type_without_init_value_and_len_err.out
-%%DATADIR%%/vlib/v/checker/tests/array_init_sum_type_without_init_value_and_len_err.vv
%%DATADIR%%/vlib/v/checker/tests/array_init_with_len_cap_err.out
%%DATADIR%%/vlib/v/checker/tests/array_init_with_len_cap_err.vv
%%DATADIR%%/vlib/v/checker/tests/array_init_with_void_value_err.out
%%DATADIR%%/vlib/v/checker/tests/array_init_with_void_value_err.vv
+%%DATADIR%%/vlib/v/checker/tests/array_init_without_init_value_err.out
+%%DATADIR%%/vlib/v/checker/tests/array_init_without_init_value_err.vv
%%DATADIR%%/vlib/v/checker/tests/array_insert_prepend_args_err.out
%%DATADIR%%/vlib/v/checker/tests/array_insert_prepend_args_err.vv
%%DATADIR%%/vlib/v/checker/tests/array_insert_type_mismatch.out
@@ -2340,14 +2526,14 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/array_literal_modify_err.vv
%%DATADIR%%/vlib/v/checker/tests/array_map_arg_mismatch.out
%%DATADIR%%/vlib/v/checker/tests/array_map_arg_mismatch.vv
+%%DATADIR%%/vlib/v/checker/tests/array_map_elements_ref_fields_uninitialized_err.out
+%%DATADIR%%/vlib/v/checker/tests/array_map_elements_ref_fields_uninitialized_err.vv
%%DATADIR%%/vlib/v/checker/tests/array_map_fn_err.out
%%DATADIR%%/vlib/v/checker/tests/array_map_fn_err.vv
%%DATADIR%%/vlib/v/checker/tests/array_map_void_fn_err.out
%%DATADIR%%/vlib/v/checker/tests/array_map_void_fn_err.vv
%%DATADIR%%/vlib/v/checker/tests/array_of_generic_struct_init_err.out
%%DATADIR%%/vlib/v/checker/tests/array_of_generic_struct_init_err.vv
-%%DATADIR%%/vlib/v/checker/tests/array_of_interfaces_with_len_without_init.out
-%%DATADIR%%/vlib/v/checker/tests/array_of_interfaces_with_len_without_init.vv
%%DATADIR%%/vlib/v/checker/tests/array_of_refs_mutability.out
%%DATADIR%%/vlib/v/checker/tests/array_of_refs_mutability.vv
%%DATADIR%%/vlib/v/checker/tests/array_of_sumtype_append_err.out
@@ -2382,6 +2568,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/arrow_op_wrong_right_type_err_b.vv
%%DATADIR%%/vlib/v/checker/tests/as_cast_option_result_unhandled_err.out
%%DATADIR%%/vlib/v/checker/tests/as_cast_option_result_unhandled_err.vv
+%%DATADIR%%/vlib/v/checker/tests/as_cast_selector_expr_err.out
+%%DATADIR%%/vlib/v/checker/tests/as_cast_selector_expr_err.vv
%%DATADIR%%/vlib/v/checker/tests/asm_immutable_err.out
%%DATADIR%%/vlib/v/checker/tests/asm_immutable_err.vv
%%DATADIR%%/vlib/v/checker/tests/assert_extra_message.out
@@ -2390,8 +2578,12 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/assert_option_err.vv
%%DATADIR%%/vlib/v/checker/tests/assign_anon_struct_to_typed_struct_err.out
%%DATADIR%%/vlib/v/checker/tests/assign_anon_struct_to_typed_struct_err.vv
+%%DATADIR%%/vlib/v/checker/tests/assign_array_init_to_fixed_array_var.out
+%%DATADIR%%/vlib/v/checker/tests/assign_array_init_to_fixed_array_var.vv
%%DATADIR%%/vlib/v/checker/tests/assign_array_init_with_no_type.out
%%DATADIR%%/vlib/v/checker/tests/assign_array_init_with_no_type.vv
+%%DATADIR%%/vlib/v/checker/tests/assign_blank_none_err.out
+%%DATADIR%%/vlib/v/checker/tests/assign_blank_none_err.vv
%%DATADIR%%/vlib/v/checker/tests/assign_const_ptr_int_literal_err.out
%%DATADIR%%/vlib/v/checker/tests/assign_const_ptr_int_literal_err.vv
%%DATADIR%%/vlib/v/checker/tests/assign_deref_fn_call_on_left_side_err.out
@@ -2452,6 +2644,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/assign_fn_call_on_left_side_err.vv
%%DATADIR%%/vlib/v/checker/tests/assign_generic_fn_err.out
%%DATADIR%%/vlib/v/checker/tests/assign_generic_fn_err.vv
+%%DATADIR%%/vlib/v/checker/tests/assign_immutable_reference_struct_field_err.out
+%%DATADIR%%/vlib/v/checker/tests/assign_immutable_reference_struct_field_err.vv
%%DATADIR%%/vlib/v/checker/tests/assign_immutable_reference_var_err.out
%%DATADIR%%/vlib/v/checker/tests/assign_immutable_reference_var_err.vv
%%DATADIR%%/vlib/v/checker/tests/assign_immutable_reference_var_with_parenthesis_err.out
@@ -2470,6 +2664,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/assign_sumtype_err.vv
%%DATADIR%%/vlib/v/checker/tests/assign_to_typeless_variable_err.out
%%DATADIR%%/vlib/v/checker/tests/assign_to_typeless_variable_err.vv
+%%DATADIR%%/vlib/v/checker/tests/assign_type_mismatch_with_generics_err.out
+%%DATADIR%%/vlib/v/checker/tests/assign_type_mismatch_with_generics_err.vv
%%DATADIR%%/vlib/v/checker/tests/assign_var_redefinition_err.out
%%DATADIR%%/vlib/v/checker/tests/assign_var_redefinition_err.vv
%%DATADIR%%/vlib/v/checker/tests/assign_with_dump_multireturn_value.out
@@ -2512,6 +2708,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/cast_err.vv
%%DATADIR%%/vlib/v/checker/tests/cast_function_to_string_err.out
%%DATADIR%%/vlib/v/checker/tests/cast_function_to_string_err.vv
+%%DATADIR%%/vlib/v/checker/tests/cast_integer_with_overflow_err.out
+%%DATADIR%%/vlib/v/checker/tests/cast_integer_with_overflow_err.vv
%%DATADIR%%/vlib/v/checker/tests/cast_string_err.out
%%DATADIR%%/vlib/v/checker/tests/cast_string_err.vv
%%DATADIR%%/vlib/v/checker/tests/cast_string_to_char_err.out
@@ -2524,6 +2722,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/cast_string_to_rune_err.vv
%%DATADIR%%/vlib/v/checker/tests/cast_string_with_byte_err.out
%%DATADIR%%/vlib/v/checker/tests/cast_string_with_byte_err.vv
+%%DATADIR%%/vlib/v/checker/tests/cast_sumtype_as_generic_err.out
+%%DATADIR%%/vlib/v/checker/tests/cast_sumtype_as_generic_err.vv
%%DATADIR%%/vlib/v/checker/tests/cast_sumtype_err.out
%%DATADIR%%/vlib/v/checker/tests/cast_sumtype_err.vv
%%DATADIR%%/vlib/v/checker/tests/cast_to_byte_err.out
@@ -2534,6 +2734,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/cast_to_ref_struct_err.vv
%%DATADIR%%/vlib/v/checker/tests/cast_void.out
%%DATADIR%%/vlib/v/checker/tests/cast_void.vv
+%%DATADIR%%/vlib/v/checker/tests/cast_voidptr_to_struct_alias_err.out
+%%DATADIR%%/vlib/v/checker/tests/cast_voidptr_to_struct_alias_err.vv
%%DATADIR%%/vlib/v/checker/tests/cast_voidptr_to_struct_err.out
%%DATADIR%%/vlib/v/checker/tests/cast_voidptr_to_struct_err.vv
%%DATADIR%%/vlib/v/checker/tests/casting_numbers_to_enums_outside_unsafe_err.out
@@ -2542,6 +2744,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/chan_args.vv
%%DATADIR%%/vlib/v/checker/tests/chan_elem_type_unknown.out
%%DATADIR%%/vlib/v/checker/tests/chan_elem_type_unknown.vv
+%%DATADIR%%/vlib/v/checker/tests/chan_incompatible_type_err.out
+%%DATADIR%%/vlib/v/checker/tests/chan_incompatible_type_err.vv
%%DATADIR%%/vlib/v/checker/tests/chan_mut.out
%%DATADIR%%/vlib/v/checker/tests/chan_mut.vv
%%DATADIR%%/vlib/v/checker/tests/chan_ref.out
@@ -2679,6 +2883,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/deference_nil_ptr_err.vv
%%DATADIR%%/vlib/v/checker/tests/deprecations.out
%%DATADIR%%/vlib/v/checker/tests/deprecations.vv
+%%DATADIR%%/vlib/v/checker/tests/deprecations_consts.out
+%%DATADIR%%/vlib/v/checker/tests/deprecations_consts.vv
%%DATADIR%%/vlib/v/checker/tests/diff_type_map_value_err.out
%%DATADIR%%/vlib/v/checker/tests/diff_type_map_value_err.vv
%%DATADIR%%/vlib/v/checker/tests/direct_map_alias_init_err.out
@@ -2787,6 +2993,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/fn_array_decompose_arg_mismatch_err_c.vv
%%DATADIR%%/vlib/v/checker/tests/fn_call_arg_array_mismatch_err.out
%%DATADIR%%/vlib/v/checker/tests/fn_call_arg_array_mismatch_err.vv
+%%DATADIR%%/vlib/v/checker/tests/fn_call_arg_fn_mismatch_err.out
+%%DATADIR%%/vlib/v/checker/tests/fn_call_arg_fn_mismatch_err.vv
%%DATADIR%%/vlib/v/checker/tests/fn_call_arg_mismatch_err_a.out
%%DATADIR%%/vlib/v/checker/tests/fn_call_arg_mismatch_err_a.vv
%%DATADIR%%/vlib/v/checker/tests/fn_call_arg_mismatch_err_b.out
@@ -2811,6 +3019,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/fn_duplicate.vv
%%DATADIR%%/vlib/v/checker/tests/fn_init_sig.out
%%DATADIR%%/vlib/v/checker/tests/fn_init_sig.vv
+%%DATADIR%%/vlib/v/checker/tests/fn_mismatch_option_return_err.out
+%%DATADIR%%/vlib/v/checker/tests/fn_mismatch_option_return_err.vv
%%DATADIR%%/vlib/v/checker/tests/fn_param_import_sym_conflict.out
%%DATADIR%%/vlib/v/checker/tests/fn_param_import_sym_conflict.vv
%%DATADIR%%/vlib/v/checker/tests/fn_ref_arg_mismatch_err.out
@@ -2839,6 +3049,10 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/fn_variadic_arg_err.vv
%%DATADIR%%/vlib/v/checker/tests/for_c_stmt_with_var_declaration_in_post_stmt.out
%%DATADIR%%/vlib/v/checker/tests/for_c_stmt_with_var_declaration_in_post_stmt.vv
+%%DATADIR%%/vlib/v/checker/tests/for_comptime_enum_fields_err.out
+%%DATADIR%%/vlib/v/checker/tests/for_comptime_enum_fields_err.vv
+%%DATADIR%%/vlib/v/checker/tests/for_comptime_struct_values_err.out
+%%DATADIR%%/vlib/v/checker/tests/for_comptime_struct_values_err.vv
%%DATADIR%%/vlib/v/checker/tests/for_in_index_option.out
%%DATADIR%%/vlib/v/checker/tests/for_in_index_option.vv
%%DATADIR%%/vlib/v/checker/tests/for_in_index_type.out
@@ -2857,6 +3071,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/for_in_mut_val_type.vv
%%DATADIR%%/vlib/v/checker/tests/for_in_range_not_match_type.out
%%DATADIR%%/vlib/v/checker/tests/for_in_range_not_match_type.vv
+%%DATADIR%%/vlib/v/checker/tests/for_in_range_result_optional_err.out
+%%DATADIR%%/vlib/v/checker/tests/for_in_range_result_optional_err.vv
%%DATADIR%%/vlib/v/checker/tests/for_in_range_string_type.out
%%DATADIR%%/vlib/v/checker/tests/for_in_range_string_type.vv
%%DATADIR%%/vlib/v/checker/tests/for_in_value_redefinition.out
@@ -2909,6 +3125,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/generic_interface_method_decl_err.vv
%%DATADIR%%/vlib/v/checker/tests/generic_interface_missing_type_names_err.out
%%DATADIR%%/vlib/v/checker/tests/generic_interface_missing_type_names_err.vv
+%%DATADIR%%/vlib/v/checker/tests/generic_mut_struct_index_err.out
+%%DATADIR%%/vlib/v/checker/tests/generic_mut_struct_index_err.vv
%%DATADIR%%/vlib/v/checker/tests/generic_sumtype_decl_err_a.out
%%DATADIR%%/vlib/v/checker/tests/generic_sumtype_decl_err_a.vv
%%DATADIR%%/vlib/v/checker/tests/generic_sumtype_decl_err_b.out
@@ -3068,6 +3286,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/if_match_expr_err.vv
%%DATADIR%%/vlib/v/checker/tests/if_match_result.out
%%DATADIR%%/vlib/v/checker/tests/if_match_result.vv
+%%DATADIR%%/vlib/v/checker/tests/if_mismatch_option_err.out
+%%DATADIR%%/vlib/v/checker/tests/if_mismatch_option_err.vv
%%DATADIR%%/vlib/v/checker/tests/if_mut_with_immutable_var_err.out
%%DATADIR%%/vlib/v/checker/tests/if_mut_with_immutable_var_err.vv
%%DATADIR%%/vlib/v/checker/tests/if_non_bool_cond.out
@@ -3204,6 +3424,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/index_invalid_call.vv
%%DATADIR%%/vlib/v/checker/tests/index_of_option_err.out
%%DATADIR%%/vlib/v/checker/tests/index_of_option_err.vv
+%%DATADIR%%/vlib/v/checker/tests/index_sumtype_interface_struct_params_err.out
+%%DATADIR%%/vlib/v/checker/tests/index_sumtype_interface_struct_params_err.vv
%%DATADIR%%/vlib/v/checker/tests/infix_and_op_expr_err.out
%%DATADIR%%/vlib/v/checker/tests/infix_and_op_expr_err.vv
%%DATADIR%%/vlib/v/checker/tests/infix_compare_option_err.out
@@ -3234,6 +3456,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/interface_init_err.vv
%%DATADIR%%/vlib/v/checker/tests/interface_method_name_err.out
%%DATADIR%%/vlib/v/checker/tests/interface_method_name_err.vv
+%%DATADIR%%/vlib/v/checker/tests/interface_option_cast_err.out
+%%DATADIR%%/vlib/v/checker/tests/interface_option_cast_err.vv
%%DATADIR%%/vlib/v/checker/tests/interface_return_parameter_err.out
%%DATADIR%%/vlib/v/checker/tests/interface_return_parameter_err.vv
%%DATADIR%%/vlib/v/checker/tests/interface_sameness_check_for_mutable_methods.out
@@ -3254,6 +3478,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/invalid_insert_references_test.vv
%%DATADIR%%/vlib/v/checker/tests/invalid_literal_assign_err.out
%%DATADIR%%/vlib/v/checker/tests/invalid_literal_assign_err.vv
+%%DATADIR%%/vlib/v/checker/tests/invalid_mismatch_for_range_type_err.out
+%%DATADIR%%/vlib/v/checker/tests/invalid_mismatch_for_range_type_err.vv
%%DATADIR%%/vlib/v/checker/tests/invalid_multi_return_operations_err.out
%%DATADIR%%/vlib/v/checker/tests/invalid_multi_return_operations_err.vv
%%DATADIR%%/vlib/v/checker/tests/invalid_mut.out
@@ -3270,6 +3496,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/invalid_property.vv
%%DATADIR%%/vlib/v/checker/tests/invalid_recursive_struct_err.out
%%DATADIR%%/vlib/v/checker/tests/invalid_recursive_struct_err.vv
+%%DATADIR%%/vlib/v/checker/tests/invalid_string_cast_to_pointers_err.out
+%%DATADIR%%/vlib/v/checker/tests/invalid_string_cast_to_pointers_err.vv
%%DATADIR%%/vlib/v/checker/tests/invalid_variable_err.out
%%DATADIR%%/vlib/v/checker/tests/invalid_variable_err.vv
%%DATADIR%%/vlib/v/checker/tests/invalid_variable_name_err.out
@@ -3292,6 +3520,10 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/labelled_break_continue.vv
%%DATADIR%%/vlib/v/checker/tests/lambda_expression_in_map.out
%%DATADIR%%/vlib/v/checker/tests/lambda_expression_in_map.vv
+%%DATADIR%%/vlib/v/checker/tests/lambda_expression_invalid.out
+%%DATADIR%%/vlib/v/checker/tests/lambda_expression_invalid.vv
+%%DATADIR%%/vlib/v/checker/tests/lambda_undefined_variables_err.out
+%%DATADIR%%/vlib/v/checker/tests/lambda_undefined_variables_err.vv
%%DATADIR%%/vlib/v/checker/tests/like_operator_outside_orm_error.out
%%DATADIR%%/vlib/v/checker/tests/like_operator_outside_orm_error.vv
%%DATADIR%%/vlib/v/checker/tests/like_operator_with_non_string_type_error.out
@@ -3322,14 +3554,24 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/map_func_return_multiple_values_err.vv
%%DATADIR%%/vlib/v/checker/tests/map_func_void_return_err.out
%%DATADIR%%/vlib/v/checker/tests/map_func_void_return_err.vv
+%%DATADIR%%/vlib/v/checker/tests/map_index_or_block_type_mismatch_err.out
+%%DATADIR%%/vlib/v/checker/tests/map_index_or_block_type_mismatch_err.vv
%%DATADIR%%/vlib/v/checker/tests/map_index_reference_value.out
%%DATADIR%%/vlib/v/checker/tests/map_index_reference_value.vv
%%DATADIR%%/vlib/v/checker/tests/map_init_invalid_syntax.out
%%DATADIR%%/vlib/v/checker/tests/map_init_invalid_syntax.vv
+%%DATADIR%%/vlib/v/checker/tests/map_init_invalid_update.out
+%%DATADIR%%/vlib/v/checker/tests/map_init_invalid_update.vv
%%DATADIR%%/vlib/v/checker/tests/map_init_key_duplicate_err.out
%%DATADIR%%/vlib/v/checker/tests/map_init_key_duplicate_err.vv
+%%DATADIR%%/vlib/v/checker/tests/map_init_wrong_expected_type.out
+%%DATADIR%%/vlib/v/checker/tests/map_init_wrong_expected_type.vv
%%DATADIR%%/vlib/v/checker/tests/map_init_wrong_type.out
%%DATADIR%%/vlib/v/checker/tests/map_init_wrong_type.vv
+%%DATADIR%%/vlib/v/checker/tests/map_init_wrong_update_type.out
+%%DATADIR%%/vlib/v/checker/tests/map_init_wrong_update_type.vv
+%%DATADIR%%/vlib/v/checker/tests/map_lambda_void_return_err.out
+%%DATADIR%%/vlib/v/checker/tests/map_lambda_void_return_err.vv
%%DATADIR%%/vlib/v/checker/tests/map_of_generic_struct_init_err.out
%%DATADIR%%/vlib/v/checker/tests/map_of_generic_struct_init_err.vv
%%DATADIR%%/vlib/v/checker/tests/map_ops.out
@@ -3342,6 +3584,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/map_with_result_value_err.vv
%%DATADIR%%/vlib/v/checker/tests/match_alias_type_err.out
%%DATADIR%%/vlib/v/checker/tests/match_alias_type_err.vv
+%%DATADIR%%/vlib/v/checker/tests/match_branch_call_expr_arg_mismatch.out
+%%DATADIR%%/vlib/v/checker/tests/match_branch_call_expr_arg_mismatch.vv
%%DATADIR%%/vlib/v/checker/tests/match_cast_cond_not_same_range_cast_type_err.out
%%DATADIR%%/vlib/v/checker/tests/match_cast_cond_not_same_range_cast_type_err.vv
%%DATADIR%%/vlib/v/checker/tests/match_cond_with_parenthesis_err.out
@@ -3350,6 +3594,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/match_duplicate_branch.vv
%%DATADIR%%/vlib/v/checker/tests/match_else_last_expr.out
%%DATADIR%%/vlib/v/checker/tests/match_else_last_expr.vv
+%%DATADIR%%/vlib/v/checker/tests/match_enum_ref.out
+%%DATADIR%%/vlib/v/checker/tests/match_enum_ref.vv
%%DATADIR%%/vlib/v/checker/tests/match_expr_and_expected_type_error.out
%%DATADIR%%/vlib/v/checker/tests/match_expr_and_expected_type_error.vv
%%DATADIR%%/vlib/v/checker/tests/match_expr_else.out
@@ -3358,10 +3604,16 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/match_expr_empty_branch.vv
%%DATADIR%%/vlib/v/checker/tests/match_expr_range_low_higher_than_high.out
%%DATADIR%%/vlib/v/checker/tests/match_expr_range_low_higher_than_high.vv
+%%DATADIR%%/vlib/v/checker/tests/match_incorrect_cast_branch_err.out
+%%DATADIR%%/vlib/v/checker/tests/match_incorrect_cast_branch_err.vv
%%DATADIR%%/vlib/v/checker/tests/match_invalid_type.out
%%DATADIR%%/vlib/v/checker/tests/match_invalid_type.vv
+%%DATADIR%%/vlib/v/checker/tests/match_last_branch_only_casted.out
+%%DATADIR%%/vlib/v/checker/tests/match_last_branch_only_casted.vv
%%DATADIR%%/vlib/v/checker/tests/match_mut_with_immutable_var_err.out
%%DATADIR%%/vlib/v/checker/tests/match_mut_with_immutable_var_err.vv
+%%DATADIR%%/vlib/v/checker/tests/match_no_branch_cast_rest_casted_err.out
+%%DATADIR%%/vlib/v/checker/tests/match_no_branch_cast_rest_casted_err.vv
%%DATADIR%%/vlib/v/checker/tests/match_range_mismatch_type_err.out
%%DATADIR%%/vlib/v/checker/tests/match_range_mismatch_type_err.vv
%%DATADIR%%/vlib/v/checker/tests/match_ranges_not_same_cast_err.out
@@ -3416,20 +3668,27 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/mod_op_wrong_type_err.vv
%%DATADIR%%/vlib/v/checker/tests/module_not_at_same_line_err.out
%%DATADIR%%/vlib/v/checker/tests/module_not_at_same_line_err.vv
-%%DATADIR%%/vlib/v/checker/tests/module_with_structs_with_deprecated_fields/fields.v
+%%DATADIR%%/vlib/v/checker/tests/module_with_deprecated_structs/module.v
%%DATADIR%%/vlib/v/checker/tests/modules/deprecated_module/bbb/ccc/ccc.v
%%DATADIR%%/vlib/v/checker/tests/modules/deprecated_module/main.v
%%DATADIR%%/vlib/v/checker/tests/modules/deprecated_module/v.mod
%%DATADIR%%/vlib/v/checker/tests/modules/deprecated_module/www/ttt/ttt.v
%%DATADIR%%/vlib/v/checker/tests/modules/deprecated_module/xxx/yyy/yyy.v
%%DATADIR%%/vlib/v/checker/tests/modules/deprecated_module.out
+%%DATADIR%%/vlib/v/checker/tests/modules/enum_from_string_in_different_mods/src/main.v
+%%DATADIR%%/vlib/v/checker/tests/modules/enum_from_string_in_different_mods/src/mod.v
+%%DATADIR%%/vlib/v/checker/tests/modules/enum_from_string_in_different_mods/v.mod
+%%DATADIR%%/vlib/v/checker/tests/modules/enum_from_string_in_different_mods.out
%%DATADIR%%/vlib/v/checker/tests/modules/implement_private_interface/baz.v
%%DATADIR%%/vlib/v/checker/tests/modules/implement_private_interface/main.v
%%DATADIR%%/vlib/v/checker/tests/modules/implement_private_interface.out
%%DATADIR%%/vlib/v/checker/tests/modules/module_alias_started_with_underscore/main.v
%%DATADIR%%/vlib/v/checker/tests/modules/module_alias_started_with_underscore/underscore.v
%%DATADIR%%/vlib/v/checker/tests/modules/module_alias_started_with_underscore.out
-%%DATADIR%%/vlib/v/checker/tests/modules/module_with_redeclaration/redeclare_time_structs.v
+%%DATADIR%%/vlib/v/checker/tests/modules/module_struct_noinit/src/main.v
+%%DATADIR%%/vlib/v/checker/tests/modules/module_struct_noinit/src/mod.v
+%%DATADIR%%/vlib/v/checker/tests/modules/module_struct_noinit.out
+%%DATADIR%%/vlib/v/checker/tests/modules/module_with_redeclaration/redeclare_time_structs.c.v
%%DATADIR%%/vlib/v/checker/tests/modules/module_with_redeclaration/v.mod
%%DATADIR%%/vlib/v/checker/tests/modules/module_with_redeclaration.out
%%DATADIR%%/vlib/v/checker/tests/modules/overload_return_type/main.v
@@ -3481,6 +3740,16 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/nil.vv
%%DATADIR%%/vlib/v/checker/tests/nil_to_option_err.out
%%DATADIR%%/vlib/v/checker/tests/nil_to_option_err.vv
+%%DATADIR%%/vlib/v/checker/tests/no_arrays_of_references_in_translated.out
+%%DATADIR%%/vlib/v/checker/tests/no_arrays_of_references_in_translated.vv
+%%DATADIR%%/vlib/v/checker/tests/no_assign_0_to_a_reference_field_notice_in_translated.out
+%%DATADIR%%/vlib/v/checker/tests/no_assign_0_to_a_reference_field_notice_in_translated.vv
+%%DATADIR%%/vlib/v/checker/tests/no_cannot_cast_a_fixed_array_in_translated.out
+%%DATADIR%%/vlib/v/checker/tests/no_cannot_cast_a_fixed_array_in_translated.vv
+%%DATADIR%%/vlib/v/checker/tests/no_function_must_be_called_from_unsafe_in_translated.out
+%%DATADIR%%/vlib/v/checker/tests/no_function_must_be_called_from_unsafe_in_translated.vv
+%%DATADIR%%/vlib/v/checker/tests/no_goto_requires_unsafe_in_translated.out
+%%DATADIR%%/vlib/v/checker/tests/no_goto_requires_unsafe_in_translated.vv
%%DATADIR%%/vlib/v/checker/tests/no_heap_struct.out
%%DATADIR%%/vlib/v/checker/tests/no_heap_struct.vv
%%DATADIR%%/vlib/v/checker/tests/no_interface_instantiation_a.out
@@ -3495,9 +3764,23 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/no_main_mod.vv
%%DATADIR%%/vlib/v/checker/tests/no_main_println_err.out
%%DATADIR%%/vlib/v/checker/tests/no_main_println_err.vv
+%%DATADIR%%/vlib/v/checker/tests/no_method_must_be_called_from_unsafe_in_translated.out
+%%DATADIR%%/vlib/v/checker/tests/no_method_must_be_called_from_unsafe_in_translated.vv
%%DATADIR%%/vlib/v/checker/tests/no_method_on_interface_propagation.out
%%DATADIR%%/vlib/v/checker/tests/no_method_on_interface_propagation.vv
+%%DATADIR%%/vlib/v/checker/tests/no_operator_can_only_be_used_as_a_statement_in_translated.out
+%%DATADIR%%/vlib/v/checker/tests/no_operator_can_only_be_used_as_a_statement_in_translated.vv
+%%DATADIR%%/vlib/v/checker/tests/no_pointer_arithmetic_is_only_allowed_in_translated.out
+%%DATADIR%%/vlib/v/checker/tests/no_pointer_arithmetic_is_only_allowed_in_translated.vv
%%DATADIR%%/vlib/v/checker/tests/no_pub_in_main.out
+%%DATADIR%%/vlib/v/checker/tests/no_reading_a_union_field_in_translated.out
+%%DATADIR%%/vlib/v/checker/tests/no_reading_a_union_field_in_translated.vv
+%%DATADIR%%/vlib/v/checker/tests/no_sign_notice_in_translated.out
+%%DATADIR%%/vlib/v/checker/tests/no_sign_notice_in_translated.vv
+%%DATADIR%%/vlib/v/checker/tests/no_uninitialized_fn_struct_field_notice_in_translated.out
+%%DATADIR%%/vlib/v/checker/tests/no_uninitialized_fn_struct_field_notice_in_translated.vv
+%%DATADIR%%/vlib/v/checker/tests/no_unused_variable_in_translated.out
+%%DATADIR%%/vlib/v/checker/tests/no_unused_variable_in_translated.vv
%%DATADIR%%/vlib/v/checker/tests/no_warning_for_in_mut_var_unused.out
%%DATADIR%%/vlib/v/checker/tests/no_warning_for_in_mut_var_unused.vv
%%DATADIR%%/vlib/v/checker/tests/non_const_match_range_err.out
@@ -3506,6 +3789,10 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/non_lvalue_as_voidptr.vv
%%DATADIR%%/vlib/v/checker/tests/non_matching_functional_args.out
%%DATADIR%%/vlib/v/checker/tests/non_matching_functional_args.vv
+%%DATADIR%%/vlib/v/checker/tests/non_optional_array_append_optional_type_err.out
+%%DATADIR%%/vlib/v/checker/tests/non_optional_array_append_optional_type_err.vv
+%%DATADIR%%/vlib/v/checker/tests/none_match_cond_err.out
+%%DATADIR%%/vlib/v/checker/tests/none_match_cond_err.vv
%%DATADIR%%/vlib/v/checker/tests/none_type_cast_err.out
%%DATADIR%%/vlib/v/checker/tests/none_type_cast_err.vv
%%DATADIR%%/vlib/v/checker/tests/noreturn_with_non_empty_loop_at_end.out
@@ -3518,6 +3805,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/oct_lit_without_digit_err.vv
%%DATADIR%%/vlib/v/checker/tests/oct_lit_wrong_digit_err.out
%%DATADIR%%/vlib/v/checker/tests/oct_lit_wrong_digit_err.vv
+%%DATADIR%%/vlib/v/checker/tests/operator_overloading_return_type_option_or_result.out
+%%DATADIR%%/vlib/v/checker/tests/operator_overloading_return_type_option_or_result.vv
%%DATADIR%%/vlib/v/checker/tests/option_concrete_type_err.out
%%DATADIR%%/vlib/v/checker/tests/option_concrete_type_err.vv
%%DATADIR%%/vlib/v/checker/tests/option_fields_addr_err.out
@@ -3580,6 +3869,10 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/orm_fn_call_with_wrong_return_type.vv
%%DATADIR%%/vlib/v/checker/tests/orm_insert_object_with_mismatched_type_error.out
%%DATADIR%%/vlib/v/checker/tests/orm_insert_object_with_mismatched_type_error.vv
+%%DATADIR%%/vlib/v/checker/tests/orm_invalid_recursive_structs_err_1.out
+%%DATADIR%%/vlib/v/checker/tests/orm_invalid_recursive_structs_err_1.vv
+%%DATADIR%%/vlib/v/checker/tests/orm_invalid_recursive_structs_err_2.out
+%%DATADIR%%/vlib/v/checker/tests/orm_invalid_recursive_structs_err_2.vv
%%DATADIR%%/vlib/v/checker/tests/orm_left_side_expr_in_infix_expr_has_no_struct_field_error.out
%%DATADIR%%/vlib/v/checker/tests/orm_left_side_expr_in_infix_expr_has_no_struct_field_error.vv
%%DATADIR%%/vlib/v/checker/tests/orm_limit_less_than_zero_error.out
@@ -3618,6 +3911,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/oversized_int_lit.vv
%%DATADIR%%/vlib/v/checker/tests/par_expr_assign_void_right_type_err.out
%%DATADIR%%/vlib/v/checker/tests/par_expr_assign_void_right_type_err.vv
+%%DATADIR%%/vlib/v/checker/tests/par_expr_decl_assign_err.out
+%%DATADIR%%/vlib/v/checker/tests/par_expr_decl_assign_err.vv
%%DATADIR%%/vlib/v/checker/tests/pass_mut_lit.out
%%DATADIR%%/vlib/v/checker/tests/pass_mut_lit.vv
%%DATADIR%%/vlib/v/checker/tests/passing_expr_to_fn_expecting_voidptr.out
@@ -3638,8 +3933,6 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/propagate_option_with_result_err.vv
%%DATADIR%%/vlib/v/checker/tests/propagate_result_with_option.out
%%DATADIR%%/vlib/v/checker/tests/propagate_result_with_option.vv
-%%DATADIR%%/vlib/v/checker/tests/ptr_array_init.out
-%%DATADIR%%/vlib/v/checker/tests/ptr_array_init.vv
%%DATADIR%%/vlib/v/checker/tests/ptr_assign.out
%%DATADIR%%/vlib/v/checker/tests/ptr_assign.vv
%%DATADIR%%/vlib/v/checker/tests/ptr_slice.out
@@ -3778,6 +4071,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/slice_reassignment.vv
%%DATADIR%%/vlib/v/checker/tests/sort_method_called_on_immutable_receiver.out
%%DATADIR%%/vlib/v/checker/tests/sort_method_called_on_immutable_receiver.vv
+%%DATADIR%%/vlib/v/checker/tests/static_maps_err.out
+%%DATADIR%%/vlib/v/checker/tests/static_maps_err.vv
%%DATADIR%%/vlib/v/checker/tests/static_method_multi_return_err.out
%%DATADIR%%/vlib/v/checker/tests/static_method_multi_return_err.vv
%%DATADIR%%/vlib/v/checker/tests/static_method_not_found_err.out
@@ -3792,10 +4087,14 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/str_method_0_arguments.vv
%%DATADIR%%/vlib/v/checker/tests/str_method_return_string.out
%%DATADIR%%/vlib/v/checker/tests/str_method_return_string.vv
-%%DATADIR%%/vlib/v/checker/tests/string_escape_u_err_a.out
-%%DATADIR%%/vlib/v/checker/tests/string_escape_u_err_a.vv
-%%DATADIR%%/vlib/v/checker/tests/string_escape_u_err_b.out
-%%DATADIR%%/vlib/v/checker/tests/string_escape_u_err_b.vv
+%%DATADIR%%/vlib/v/checker/tests/string_escape_u16_err_a.out
+%%DATADIR%%/vlib/v/checker/tests/string_escape_u16_err_a.vv
+%%DATADIR%%/vlib/v/checker/tests/string_escape_u16_err_b.out
+%%DATADIR%%/vlib/v/checker/tests/string_escape_u16_err_b.vv
+%%DATADIR%%/vlib/v/checker/tests/string_escape_u32_err_a.out
+%%DATADIR%%/vlib/v/checker/tests/string_escape_u32_err_a.vv
+%%DATADIR%%/vlib/v/checker/tests/string_escape_u32_err_b.out
+%%DATADIR%%/vlib/v/checker/tests/string_escape_u32_err_b.vv
%%DATADIR%%/vlib/v/checker/tests/string_escape_x_err_a.out
%%DATADIR%%/vlib/v/checker/tests/string_escape_x_err_a.vv
%%DATADIR%%/vlib/v/checker/tests/string_escape_x_err_b.out
@@ -3830,8 +4129,12 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/struct_field_assign_internal_types_nil_err.vv
%%DATADIR%%/vlib/v/checker/tests/struct_field_generic_struct_unknown_type_err.out
%%DATADIR%%/vlib/v/checker/tests/struct_field_generic_struct_unknown_type_err.vv
+%%DATADIR%%/vlib/v/checker/tests/struct_field_init_and_default_is_map_err.out
+%%DATADIR%%/vlib/v/checker/tests/struct_field_init_and_default_is_map_err.vv
%%DATADIR%%/vlib/v/checker/tests/struct_field_init_fntype_mismatch.out
%%DATADIR%%/vlib/v/checker/tests/struct_field_init_fntype_mismatch.vv
+%%DATADIR%%/vlib/v/checker/tests/struct_field_init_option_ref_err.out
+%%DATADIR%%/vlib/v/checker/tests/struct_field_init_option_ref_err.vv
%%DATADIR%%/vlib/v/checker/tests/struct_field_init_with_nobody_anon_fn_err.out
%%DATADIR%%/vlib/v/checker/tests/struct_field_init_with_nobody_anon_fn_err.vv
%%DATADIR%%/vlib/v/checker/tests/struct_field_init_with_result_err.out
@@ -3858,8 +4161,14 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/struct_field_with_default_err.vv
%%DATADIR%%/vlib/v/checker/tests/struct_fixed_array_init_test.out
%%DATADIR%%/vlib/v/checker/tests/struct_fixed_array_init_test.vv
+%%DATADIR%%/vlib/v/checker/tests/struct_init_deprecations.out
+%%DATADIR%%/vlib/v/checker/tests/struct_init_deprecations.vv
+%%DATADIR%%/vlib/v/checker/tests/struct_init_field_result_err.out
+%%DATADIR%%/vlib/v/checker/tests/struct_init_field_result_err.vv
%%DATADIR%%/vlib/v/checker/tests/struct_init_update_type_err.out
%%DATADIR%%/vlib/v/checker/tests/struct_init_update_type_err.vv
+%%DATADIR%%/vlib/v/checker/tests/struct_init_with_iface_embed_iface_with_incorrect_method_impl_ref_field_err.out
+%%DATADIR%%/vlib/v/checker/tests/struct_init_with_iface_embed_iface_with_incorrect_method_impl_ref_field_err.vv
%%DATADIR%%/vlib/v/checker/tests/struct_init_wrong_warn.out
%%DATADIR%%/vlib/v/checker/tests/struct_init_wrong_warn.vv
%%DATADIR%%/vlib/v/checker/tests/struct_match_same_type_node.out
@@ -3880,6 +4189,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/struct_ptr_cast_zero_err.vv
%%DATADIR%%/vlib/v/checker/tests/struct_pub_field.out
%%DATADIR%%/vlib/v/checker/tests/struct_pub_field.vv
+%%DATADIR%%/vlib/v/checker/tests/struct_ref_fields_init_0_err.out
+%%DATADIR%%/vlib/v/checker/tests/struct_ref_fields_init_0_err.vv
%%DATADIR%%/vlib/v/checker/tests/struct_ref_fields_uninitialized_err.out
%%DATADIR%%/vlib/v/checker/tests/struct_ref_fields_uninitialized_err.vv
%%DATADIR%%/vlib/v/checker/tests/struct_required_field.out
@@ -3908,6 +4219,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/sum_type_common_fields_error.vv
%%DATADIR%%/vlib/v/checker/tests/sum_type_exists.out
%%DATADIR%%/vlib/v/checker/tests/sum_type_exists.vv
+%%DATADIR%%/vlib/v/checker/tests/sum_type_holding_alias_ptr_err.out
+%%DATADIR%%/vlib/v/checker/tests/sum_type_holding_alias_ptr_err.vv
%%DATADIR%%/vlib/v/checker/tests/sum_type_infix_err.out
%%DATADIR%%/vlib/v/checker/tests/sum_type_infix_err.vv
%%DATADIR%%/vlib/v/checker/tests/sum_type_multiple_type_define.out
@@ -4038,6 +4351,8 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/unsafe_assign_none_err.vv
%%DATADIR%%/vlib/v/checker/tests/unsafe_c_calls_should_be_checked.out
%%DATADIR%%/vlib/v/checker/tests/unsafe_c_calls_should_be_checked.vv
+%%DATADIR%%/vlib/v/checker/tests/unsafe_deref_assign_err.out
+%%DATADIR%%/vlib/v/checker/tests/unsafe_deref_assign_err.vv
%%DATADIR%%/vlib/v/checker/tests/unsafe_fixed_array_assign.out
%%DATADIR%%/vlib/v/checker/tests/unsafe_fixed_array_assign.vv
%%DATADIR%%/vlib/v/checker/tests/unsafe_method_as_field.out
@@ -4106,19 +4421,57 @@ bin/v
%%DATADIR%%/vlib/v/checker/tests/with_check_option/v_tictactoe.vv
%%DATADIR%%/vlib/v/checker/tests/with_check_option/v_tictactoe_fixed_syntax_error.out
%%DATADIR%%/vlib/v/checker/tests/with_check_option/v_tictactoe_fixed_syntax_error.vv
+%%DATADIR%%/vlib/v/checker/tests/wrong_comptime_for_err.out
+%%DATADIR%%/vlib/v/checker/tests/wrong_comptime_for_err.vv
%%DATADIR%%/vlib/v/checker/tests/wrong_fn_init_err.out
%%DATADIR%%/vlib/v/checker/tests/wrong_fn_init_err.vv
%%DATADIR%%/vlib/v/checker/tests/wrong_none_on_or_block_err.out
%%DATADIR%%/vlib/v/checker/tests/wrong_none_on_or_block_err.vv
+%%DATADIR%%/vlib/v/checker/tests/wrong_option_type.out
+%%DATADIR%%/vlib/v/checker/tests/wrong_option_type.vv
%%DATADIR%%/vlib/v/checker/tests/wrong_option_unwrap_err.out
%%DATADIR%%/vlib/v/checker/tests/wrong_option_unwrap_err.vv
%%DATADIR%%/vlib/v/checker/tests/wrong_propagate_ret_type.out
%%DATADIR%%/vlib/v/checker/tests/wrong_propagate_ret_type.vv
+%%DATADIR%%/vlib/v/checker/tests/wrong_result_type.out
+%%DATADIR%%/vlib/v/checker/tests/wrong_result_type.vv
%%DATADIR%%/vlib/v/checker/tests/wrong_shift_left_option_err.out
%%DATADIR%%/vlib/v/checker/tests/wrong_shift_left_option_err.vv
%%DATADIR%%/vlib/v/checker/tests/wrong_type_casted_fixed_array_size_err.out
%%DATADIR%%/vlib/v/checker/tests/wrong_type_casted_fixed_array_size_err.vv
%%DATADIR%%/vlib/v/compiler_errors_test.v
+%%DATADIR%%/vlib/v/comptime/comptimeinfo.v
+%%DATADIR%%/vlib/v/debug/callstack.v
+%%DATADIR%%/vlib/v/debug/debug.v
+%%DATADIR%%/vlib/v/debug/interactive_test.v
+%%DATADIR%%/vlib/v/debug/tests/aggregate.expect
+%%DATADIR%%/vlib/v/debug/tests/aggregate.vv
+%%DATADIR%%/vlib/v/debug/tests/common.tcl
+%%DATADIR%%/vlib/v/debug/tests/comptime_smartcast.expect
+%%DATADIR%%/vlib/v/debug/tests/comptime_smartcast.vv
+%%DATADIR%%/vlib/v/debug/tests/comptime_variant.expect
+%%DATADIR%%/vlib/v/debug/tests/comptime_variant.vv
+%%DATADIR%%/vlib/v/debug/tests/interface_var.expect
+%%DATADIR%%/vlib/v/debug/tests/interface_var.vv
+%%DATADIR%%/vlib/v/debug/tests/iteration.expect
+%%DATADIR%%/vlib/v/debug/tests/iteration.vv
+%%DATADIR%%/vlib/v/debug/tests/mut_arg.expect
+%%DATADIR%%/vlib/v/debug/tests/mut_arg.vv
+%%DATADIR%%/vlib/v/debug/tests/mut_sumtype.expect
+%%DATADIR%%/vlib/v/debug/tests/mut_sumtype.vv
+%%DATADIR%%/vlib/v/debug/tests/option.expect
+%%DATADIR%%/vlib/v/debug/tests/option.vv
+%%DATADIR%%/vlib/v/debug/tests/option_unwrap.expect
+%%DATADIR%%/vlib/v/debug/tests/option_unwrap.vv
+%%DATADIR%%/vlib/v/debug/tests/smartcast.expect
+%%DATADIR%%/vlib/v/debug/tests/smartcast.vv
+%%DATADIR%%/vlib/v/debug/tests/sumtype.expect
+%%DATADIR%%/vlib/v/debug/tests/sumtype.vv
+%%DATADIR%%/vlib/v/debug/tests/trace/trace_test.v
+%%DATADIR%%/vlib/v/debug/tests/var_scope.expect
+%%DATADIR%%/vlib/v/debug/tests/var_scope.vv
+%%DATADIR%%/vlib/v/debug/trace.v
+%%DATADIR%%/vlib/v/debug/tracing_test.v
%%DATADIR%%/vlib/v/depgraph/depgraph.v
%%DATADIR%%/vlib/v/doc/comment.v
%%DATADIR%%/vlib/v/doc/doc.v
@@ -4132,6 +4485,8 @@ bin/v
%%DATADIR%%/vlib/v/dotgraph/dotgraph.v
%%DATADIR%%/vlib/v/embed_file/decoder.v
%%DATADIR%%/vlib/v/embed_file/embed_file.v
+%%DATADIR%%/vlib/v/embed_file/embed_file_d_freestanding.v
+%%DATADIR%%/vlib/v/embed_file/embed_file_notd_freestanding.v
%%DATADIR%%/vlib/v/embed_file/tests/a.txt
%%DATADIR%%/vlib/v/embed_file/tests/embed_file_self_test.v
%%DATADIR%%/vlib/v/embed_file/tests/embed_file_test.v
@@ -4141,7 +4496,7 @@ bin/v
%%DATADIR%%/vlib/v/embed_file/tests/v.png
%%DATADIR%%/vlib/v/errors/errors.v
%%DATADIR%%/vlib/v/eval/eval.v
-%%DATADIR%%/vlib/v/eval/expr.v
+%%DATADIR%%/vlib/v/eval/expr.c.v
%%DATADIR%%/vlib/v/eval/gen/infix_gen.v
%%DATADIR%%/vlib/v/eval/infix.v
%%DATADIR%%/vlib/v/eval/interpret_test.v
@@ -4162,10 +4517,14 @@ bin/v
%%DATADIR%%/vlib/v/fmt/attrs.v
%%DATADIR%%/vlib/v/fmt/comments.v
%%DATADIR%%/vlib/v/fmt/fmt.v
+%%DATADIR%%/vlib/v/fmt/fmt_bin2v_test.v
%%DATADIR%%/vlib/v/fmt/fmt_keep_test.v
%%DATADIR%%/vlib/v/fmt/fmt_test.v
%%DATADIR%%/vlib/v/fmt/fmt_vlib_test.v
%%DATADIR%%/vlib/v/fmt/struct.v
+%%DATADIR%%/vlib/v/fmt/testdata/vmodules/submod_type_alias/bar/baz/baz.v
+%%DATADIR%%/vlib/v/fmt/testdata/vmodules/submod_type_alias/submod_type_alias_expected.vv
+%%DATADIR%%/vlib/v/fmt/testdata/vmodules/submod_type_alias/submod_type_alias_input.vv
%%DATADIR%%/vlib/v/fmt/tests/allow_const_with_decl_assign_expected.vv
%%DATADIR%%/vlib/v/fmt/tests/allow_const_with_decl_assign_input.vv
%%DATADIR%%/vlib/v/fmt/tests/anon_fn_as_param_keep.vv
@@ -4197,7 +4556,6 @@ bin/v
%%DATADIR%%/vlib/v/fmt/tests/attrs_expected.vv
%%DATADIR%%/vlib/v/fmt/tests/attrs_input.vv
%%DATADIR%%/vlib/v/fmt/tests/attrs_keep.vv
-%%DATADIR%%/vlib/v/fmt/tests/bin2v_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/blocks_expected.vv
%%DATADIR%%/vlib/v/fmt/tests/blocks_input.vv
%%DATADIR%%/vlib/v/fmt/tests/c_struct_init_keep.vv
@@ -4219,6 +4577,7 @@ bin/v
%%DATADIR%%/vlib/v/fmt/tests/comptime_call_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/comptime_field_selector_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/comptime_field_selector_parentheses_keep.vv
+%%DATADIR%%/vlib/v/fmt/tests/comptime_if_after_inc_expr_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/comptime_if_expr_script_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/comptime_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/comptime_method_args_expected.vv
@@ -4231,9 +4590,12 @@ bin/v
%%DATADIR%%/vlib/v/fmt/tests/conditional_compilation_keep_in_module.vv
%%DATADIR%%/vlib/v/fmt/tests/conditions_expected.vv
%%DATADIR%%/vlib/v/fmt/tests/conditions_input.vv
+%%DATADIR%%/vlib/v/fmt/tests/consts_after_linebreak_expected.vv
+%%DATADIR%%/vlib/v/fmt/tests/consts_after_linebreak_input.vv
%%DATADIR%%/vlib/v/fmt/tests/consts_expected.vv
%%DATADIR%%/vlib/v/fmt/tests/consts_input.vv
%%DATADIR%%/vlib/v/fmt/tests/consts_keep.vv
+%%DATADIR%%/vlib/v/fmt/tests/consts_with_comments_end_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/consts_with_comments_expected.vv
%%DATADIR%%/vlib/v/fmt/tests/consts_with_comments_input.vv
%%DATADIR%%/vlib/v/fmt/tests/consts_with_comments_keep.vv
@@ -4251,6 +4613,8 @@ bin/v
%%DATADIR%%/vlib/v/fmt/tests/empty_map_fmt_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/enum_attributes_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/enum_comments_keep.vv
+%%DATADIR%%/vlib/v/fmt/tests/enum_decl_with_comment_expected.vv
+%%DATADIR%%/vlib/v/fmt/tests/enum_decl_with_comment_input.vv
%%DATADIR%%/vlib/v/fmt/tests/enums_expected.vv
%%DATADIR%%/vlib/v/fmt/tests/enums_input.vv
%%DATADIR%%/vlib/v/fmt/tests/expressions_expected.vv
@@ -4320,6 +4684,10 @@ bin/v
%%DATADIR%%/vlib/v/fmt/tests/if_ternary_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/import_auto_added_expected.vv
%%DATADIR%%/vlib/v/fmt/tests/import_auto_added_input.vv
+%%DATADIR%%/vlib/v/fmt/tests/import_auto_with_shebang_and_comment_above_imports_expected.vv
+%%DATADIR%%/vlib/v/fmt/tests/import_auto_with_shebang_and_comment_above_imports_input.vv
+%%DATADIR%%/vlib/v/fmt/tests/import_auto_with_shebang_expected.vv
+%%DATADIR%%/vlib/v/fmt/tests/import_auto_with_shebang_input.vv
%%DATADIR%%/vlib/v/fmt/tests/import_comments_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/import_duplicate_expected.vv
%%DATADIR%%/vlib/v/fmt/tests/import_duplicate_input.vv
@@ -4329,7 +4697,9 @@ bin/v
%%DATADIR%%/vlib/v/fmt/tests/import_selective_expected.vv
%%DATADIR%%/vlib/v/fmt/tests/import_selective_input.vv
%%DATADIR%%/vlib/v/fmt/tests/import_selective_keep.vv
+%%DATADIR%%/vlib/v/fmt/tests/import_selective_map_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/import_single_keep.vv
+%%DATADIR%%/vlib/v/fmt/tests/import_submod_from_vmodule_src_dir_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/import_with_alias_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/import_with_symbol_of_struct_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/import_with_symbols_keep.vv
@@ -4342,7 +4712,11 @@ bin/v
%%DATADIR%%/vlib/v/fmt/tests/inline_sum_type_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/integer_literal_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/interface_anon_struct_decl_keep.vv
+%%DATADIR%%/vlib/v/fmt/tests/interface_decl_with_comment_expected.vv
+%%DATADIR%%/vlib/v/fmt/tests/interface_decl_with_comment_input.vv
%%DATADIR%%/vlib/v/fmt/tests/interface_declaration_comments_keep.vv
+%%DATADIR%%/vlib/v/fmt/tests/interface_field_expected.vv
+%%DATADIR%%/vlib/v/fmt/tests/interface_field_input.vv
%%DATADIR%%/vlib/v/fmt/tests/interface_fields_with_pre_comments_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/interface_method_with_fntype_arg_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/interface_method_with_pre_comments_keep.vv
@@ -4372,19 +4746,23 @@ bin/v
%%DATADIR%%/vlib/v/fmt/tests/match_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/match_range_expression_branches_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/match_with_commented_branches_keep.vv
+%%DATADIR%%/vlib/v/fmt/tests/match_with_multi_commented_branches_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/missing_import_expected.vv
%%DATADIR%%/vlib/v/fmt/tests/missing_import_input.vv
%%DATADIR%%/vlib/v/fmt/tests/module_alias_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/module_interface_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/module_struct_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/multi_generic_test_keep.vv
-%%DATADIR%%/vlib/v/fmt/tests/multiline_comment_keep.vv
+%%DATADIR%%/vlib/v/fmt/tests/multiline_comment_1_keep.vv
+%%DATADIR%%/vlib/v/fmt/tests/multiline_comment_2_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/nested_map_type_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/newlines_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/no_main_expected.vv
%%DATADIR%%/vlib/v/fmt/tests/no_main_input.vv
%%DATADIR%%/vlib/v/fmt/tests/obj/obj.v
%%DATADIR%%/vlib/v/fmt/tests/offset_keep.vv
+%%DATADIR%%/vlib/v/fmt/tests/old_attrs_to_new_expected.vv
+%%DATADIR%%/vlib/v/fmt/tests/old_attrs_to_new_input.vv
%%DATADIR%%/vlib/v/fmt/tests/operator_overload_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/option_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/option_propagate_keep.vv
@@ -4419,6 +4797,8 @@ bin/v
%%DATADIR%%/vlib/v/fmt/tests/string_raw_and_cstr_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/strings_name_variable_call_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/struct_decl_keep.vv
+%%DATADIR%%/vlib/v/fmt/tests/struct_decl_with_comments_expected.vv
+%%DATADIR%%/vlib/v/fmt/tests/struct_decl_with_comments_input.vv
%%DATADIR%%/vlib/v/fmt/tests/struct_decl_with_comments_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/struct_decl_with_nested_struct_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/struct_default_field_expressions_keep.vv
@@ -4433,8 +4813,7 @@ bin/v
%%DATADIR%%/vlib/v/fmt/tests/struct_update_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/struct_with_array_of_anon_struct_field_decl_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/struct_with_fn_fields_keep.vv
-%%DATADIR%%/vlib/v/fmt/tests/structs_expected.vv
-%%DATADIR%%/vlib/v/fmt/tests/structs_input.vv
+%%DATADIR%%/vlib/v/fmt/tests/structs_comments_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/sum_attributes_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/sum_smartcast_keep.vv
%%DATADIR%%/vlib/v/fmt/tests/symbol_registration_keep.vv
@@ -4499,6 +4878,15 @@ bin/v
%%DATADIR%%/vlib/v/gen/c/testdata/alias_interface_method_call.vv
%%DATADIR%%/vlib/v/gen/c/testdata/alias_of_array_method_call.out
%%DATADIR%%/vlib/v/gen/c/testdata/alias_of_array_method_call.vv
+%%DATADIR%%/vlib/v/gen/c/testdata/aligned_attr_gcc_windows.c.must_have
+%%DATADIR%%/vlib/v/gen/c/testdata/aligned_attr_gcc_windows.out
+%%DATADIR%%/vlib/v/gen/c/testdata/aligned_attr_gcc_windows.vv
+%%DATADIR%%/vlib/v/gen/c/testdata/aligned_attr_msvc_windows.c.must_have
+%%DATADIR%%/vlib/v/gen/c/testdata/aligned_attr_msvc_windows.out
+%%DATADIR%%/vlib/v/gen/c/testdata/aligned_attr_msvc_windows.vv
+%%DATADIR%%/vlib/v/gen/c/testdata/aligned_attr_nix.c.must_have
+%%DATADIR%%/vlib/v/gen/c/testdata/aligned_attr_nix.out
+%%DATADIR%%/vlib/v/gen/c/testdata/aligned_attr_nix.vv
%%DATADIR%%/vlib/v/gen/c/testdata/array_as_interface.out
%%DATADIR%%/vlib/v/gen/c/testdata/array_as_interface.vv
%%DATADIR%%/vlib/v/gen/c/testdata/array_init_no_error.out
@@ -4508,8 +4896,12 @@ bin/v
%%DATADIR%%/vlib/v/gen/c/testdata/assert_fncalls.vv
%%DATADIR%%/vlib/v/gen/c/testdata/attr_string_quotes_escape.out
%%DATADIR%%/vlib/v/gen/c/testdata/attr_string_quotes_escape.vv
+%%DATADIR%%/vlib/v/gen/c/testdata/callstack.out
+%%DATADIR%%/vlib/v/gen/c/testdata/callstack.vv
%%DATADIR%%/vlib/v/gen/c/testdata/check_combination_of_alias_and_sumtype.c.must_have
%%DATADIR%%/vlib/v/gen/c/testdata/check_combination_of_alias_and_sumtype.vv
+%%DATADIR%%/vlib/v/gen/c/testdata/closure_shared_lib.c.must_have
+%%DATADIR%%/vlib/v/gen/c/testdata/closure_shared_lib.vv
%%DATADIR%%/vlib/v/gen/c/testdata/comp_if_unknown.c.must_have
%%DATADIR%%/vlib/v/gen/c/testdata/comp_if_unknown.vv
%%DATADIR%%/vlib/v/gen/c/testdata/compare_structs.c.must_have
@@ -4546,12 +4938,34 @@ bin/v
%%DATADIR%%/vlib/v/gen/c/testdata/for_in.c.must_have
%%DATADIR%%/vlib/v/gen/c/testdata/for_in.out
%%DATADIR%%/vlib/v/gen/c/testdata/for_in.vv
+%%DATADIR%%/vlib/v/gen/c/testdata/freestanding_define/a_d_freestanding.c.v
+%%DATADIR%%/vlib/v/gen/c/testdata/freestanding_define/a_notd_freestanding.c.v
+%%DATADIR%%/vlib/v/gen/c/testdata/freestanding_module_import_1.out
+%%DATADIR%%/vlib/v/gen/c/testdata/freestanding_module_import_1.vv
+%%DATADIR%%/vlib/v/gen/c/testdata/freestanding_module_import_2.out
+%%DATADIR%%/vlib/v/gen/c/testdata/freestanding_module_import_2.vv
+%%DATADIR%%/vlib/v/gen/c/testdata/func_type_dependency.c.must_have
+%%DATADIR%%/vlib/v/gen/c/testdata/func_type_dependency.vv
+%%DATADIR%%/vlib/v/gen/c/testdata/gen_expr_to_string_with_call_and_return_ref.out
+%%DATADIR%%/vlib/v/gen/c/testdata/gen_expr_to_string_with_call_and_return_ref.vv
+%%DATADIR%%/vlib/v/gen/c/testdata/global_export_nix.c.must_have
+%%DATADIR%%/vlib/v/gen/c/testdata/global_export_nix.vv
+%%DATADIR%%/vlib/v/gen/c/testdata/global_initializer.c.must_have
+%%DATADIR%%/vlib/v/gen/c/testdata/global_initializer.vv
%%DATADIR%%/vlib/v/gen/c/testdata/globals_with_weak_tag.c.must_have
%%DATADIR%%/vlib/v/gen/c/testdata/globals_with_weak_tag.vv
%%DATADIR%%/vlib/v/gen/c/testdata/gui_windows_program.c.must_have
%%DATADIR%%/vlib/v/gen/c/testdata/gui_windows_program.vv
%%DATADIR%%/vlib/v/gen/c/testdata/gui_windows_program_with_console_tag.c.must_have
%%DATADIR%%/vlib/v/gen/c/testdata/gui_windows_program_with_console_tag.vv
+%%DATADIR%%/vlib/v/gen/c/testdata/init_fn_with_if_attr_defined.c.must_have
+%%DATADIR%%/vlib/v/gen/c/testdata/init_fn_with_if_attr_defined.out
+%%DATADIR%%/vlib/v/gen/c/testdata/init_fn_with_if_attr_defined.vv
+%%DATADIR%%/vlib/v/gen/c/testdata/init_fn_with_if_attr_not_defined.c.must_have
+%%DATADIR%%/vlib/v/gen/c/testdata/init_fn_with_if_attr_not_defined.out
+%%DATADIR%%/vlib/v/gen/c/testdata/init_fn_with_if_attr_not_defined.vv
+%%DATADIR%%/vlib/v/gen/c/testdata/linker_section_nix.c.must_have
+%%DATADIR%%/vlib/v/gen/c/testdata/linker_section_nix.vv
%%DATADIR%%/vlib/v/gen/c/testdata/multi_return_ignored_if_guard.out
%%DATADIR%%/vlib/v/gen/c/testdata/multi_return_ignored_if_guard.vv
%%DATADIR%%/vlib/v/gen/c/testdata/mutable_receiver_type_mapping.out
@@ -4566,6 +4980,8 @@ bin/v
%%DATADIR%%/vlib/v/gen/c/testdata/preinclude_header2.h
%%DATADIR%%/vlib/v/gen/c/testdata/ref_sumtype_map_as_struct_field.out
%%DATADIR%%/vlib/v/gen/c/testdata/ref_sumtype_map_as_struct_field.vv
+%%DATADIR%%/vlib/v/gen/c/testdata/self_printer_with_prod.out
+%%DATADIR%%/vlib/v/gen/c/testdata/self_printer_with_prod.vv
%%DATADIR%%/vlib/v/gen/c/testdata/spawn_call_fn_struct_field.out
%%DATADIR%%/vlib/v/gen/c/testdata/spawn_call_fn_struct_field.vv
%%DATADIR%%/vlib/v/gen/c/testdata/spawn_stack_nix.c.must_have
@@ -4659,6 +5075,10 @@ bin/v
%%DATADIR%%/vlib/v/gen/js/tests/testdata/string.v
%%DATADIR%%/vlib/v/gen/js/tests/testdata/string_methods.out
%%DATADIR%%/vlib/v/gen/js/tests/testdata/string_methods.v
+%%DATADIR%%/vlib/v/gen/js/tests/testdata/u16.out
+%%DATADIR%%/vlib/v/gen/js/tests/testdata/u16.v
+%%DATADIR%%/vlib/v/gen/js/tests/testdata/u32.out
+%%DATADIR%%/vlib/v/gen/js/tests/testdata/u32.v
%%DATADIR%%/vlib/v/gen/js/tests/testdata/u64.out
%%DATADIR%%/vlib/v/gen/js/tests/testdata/u64.v
%%DATADIR%%/vlib/v/gen/js/util.v
@@ -4675,8 +5095,8 @@ bin/v
%%DATADIR%%/vlib/v/gen/native/macho.v
%%DATADIR%%/vlib/v/gen/native/macho_test.v
%%DATADIR%%/vlib/v/gen/native/pe.v
-%%DATADIR%%/vlib/v/gen/native/readdll.v
-%%DATADIR%%/vlib/v/gen/native/stmt.v
+%%DATADIR%%/vlib/v/gen/native/readdll.c.v
+%%DATADIR%%/vlib/v/gen/native/stmt.c.v
%%DATADIR%%/vlib/v/gen/native/syscall.v
%%DATADIR%%/vlib/v/gen/native/tests/asm.vv
%%DATADIR%%/vlib/v/gen/native/tests/asm.vv.out
@@ -4795,8 +5215,6 @@ bin/v
%%DATADIR%%/vlib/v/help/other/shader.txt
%%DATADIR%%/vlib/v/help/other/share.txt
%%DATADIR%%/vlib/v/help/other/tracev.txt
-%%DATADIR%%/vlib/v/help/scaffolding/init.txt
-%%DATADIR%%/vlib/v/help/scaffolding/new.txt
%%DATADIR%%/vlib/v/help/scaffolding/scaffolding.txt
%%DATADIR%%/vlib/v/help/vpm/install.txt
%%DATADIR%%/vlib/v/help/vpm/list.txt
@@ -4807,8 +5225,8 @@ bin/v
%%DATADIR%%/vlib/v/help/vpm/update.txt
%%DATADIR%%/vlib/v/help/vpm/upgrade.txt
%%DATADIR%%/vlib/v/help/vpm/vpm.txt
-%%DATADIR%%/vlib/v/live/common.v
-%%DATADIR%%/vlib/v/live/executable/reloader.v
+%%DATADIR%%/vlib/v/live/common.c.v
+%%DATADIR%%/vlib/v/live/executable/reloader.c.v
%%DATADIR%%/vlib/v/live/live_test.v
%%DATADIR%%/vlib/v/live/live_test_template.vv
%%DATADIR%%/vlib/v/live/sharedlib/live_sharedlib.v
@@ -4829,7 +5247,11 @@ bin/v
%%DATADIR%%/vlib/v/parser/parse_type.v
%%DATADIR%%/vlib/v/parser/parser.v
%%DATADIR%%/vlib/v/parser/struct.v
+%%DATADIR%%/vlib/v/parser/testdata/silent/orm_infinite_loop_in_parser_sql_stmt.vv
+%%DATADIR%%/vlib/v/parser/testdata/stdout/.gitkeep
%%DATADIR%%/vlib/v/parser/tests/README.md
+%%DATADIR%%/vlib/v/parser/tests/already_existing_sym_err.out
+%%DATADIR%%/vlib/v/parser/tests/already_existing_sym_err.vv
%%DATADIR%%/vlib/v/parser/tests/anon_fn_return_type.out
%%DATADIR%%/vlib/v/parser/tests/anon_fn_return_type.vv
%%DATADIR%%/vlib/v/parser/tests/anon_sum_type_interface.out
@@ -4926,6 +5348,8 @@ bin/v
%%DATADIR%%/vlib/v/parser/tests/expected_type_enum_err.vv
%%DATADIR%%/vlib/v/parser/tests/expecting_assign_type_alias.out
%%DATADIR%%/vlib/v/parser/tests/expecting_assign_type_alias.vv
+%%DATADIR%%/vlib/v/parser/tests/expecting_type_declaration_for_new_attribute_field_followed_by_enum_default_value.out
+%%DATADIR%%/vlib/v/parser/tests/expecting_type_declaration_for_new_attribute_field_followed_by_enum_default_value.vv
%%DATADIR%%/vlib/v/parser/tests/export_interop_func_err.out
%%DATADIR%%/vlib/v/parser/tests/export_interop_func_err.vv
%%DATADIR%%/vlib/v/parser/tests/expr_evaluated_but_not_used_a.out
@@ -4946,6 +5370,10 @@ bin/v
%%DATADIR%%/vlib/v/parser/tests/fixed_arr_len_cap_attr_err.vv
%%DATADIR%%/vlib/v/parser/tests/fixed_array_size_using_non_constant_err.out
%%DATADIR%%/vlib/v/parser/tests/fixed_array_size_using_non_constant_err.vv
+%%DATADIR%%/vlib/v/parser/tests/fn_alias_arg_variadic_mut_err.out
+%%DATADIR%%/vlib/v/parser/tests/fn_alias_arg_variadic_mut_err.vv
+%%DATADIR%%/vlib/v/parser/tests/fn_arg_variadic_mut_err.out
+%%DATADIR%%/vlib/v/parser/tests/fn_arg_variadic_mut_err.vv
%%DATADIR%%/vlib/v/parser/tests/fn_attributes_duplicate_multiple.out
%%DATADIR%%/vlib/v/parser/tests/fn_attributes_duplicate_multiple.vv
%%DATADIR%%/vlib/v/parser/tests/fn_attributes_duplicate_single.out
@@ -4993,6 +5421,8 @@ bin/v
%%DATADIR%%/vlib/v/parser/tests/function_variadic_arg_non_final.vv
%%DATADIR%%/vlib/v/parser/tests/generic_fn_type_decl_err.out
%%DATADIR%%/vlib/v/parser/tests/generic_fn_type_decl_err.vv
+%%DATADIR%%/vlib/v/parser/tests/generic_interface_decl_err.out
+%%DATADIR%%/vlib/v/parser/tests/generic_interface_decl_err.vv
%%DATADIR%%/vlib/v/parser/tests/generic_lowercase_err.out
%%DATADIR%%/vlib/v/parser/tests/generic_lowercase_err.vv
%%DATADIR%%/vlib/v/parser/tests/generic_struct_parameter_err.out
@@ -5073,6 +5503,8 @@ bin/v
%%DATADIR%%/vlib/v/parser/tests/map_init_void.vv
%%DATADIR%%/vlib/v/parser/tests/map_init_void2.out
%%DATADIR%%/vlib/v/parser/tests/map_init_void2.vv
+%%DATADIR%%/vlib/v/parser/tests/map_key_twice_err.out
+%%DATADIR%%/vlib/v/parser/tests/map_key_twice_err.vv
%%DATADIR%%/vlib/v/parser/tests/map_syntax_err.out
%%DATADIR%%/vlib/v/parser/tests/map_syntax_err.vv
%%DATADIR%%/vlib/v/parser/tests/match_multi_else_branch_err.out
@@ -5109,6 +5541,8 @@ bin/v
%%DATADIR%%/vlib/v/parser/tests/not_using_if_comptime_in_comptime_err.vv
%%DATADIR%%/vlib/v/parser/tests/operator_normal_fn.out
%%DATADIR%%/vlib/v/parser/tests/operator_normal_fn.vv
+%%DATADIR%%/vlib/v/parser/tests/option_alias_option_type_err.out
+%%DATADIR%%/vlib/v/parser/tests/option_alias_option_type_err.vv
%%DATADIR%%/vlib/v/parser/tests/option_result_err.out
%%DATADIR%%/vlib/v/parser/tests/option_result_err.vv
%%DATADIR%%/vlib/v/parser/tests/option_sum_type_return_err.out
@@ -5127,6 +5561,10 @@ bin/v
%%DATADIR%%/vlib/v/parser/tests/prefix_dec_err.vv
%%DATADIR%%/vlib/v/parser/tests/prefix_dec_expr_err.out
%%DATADIR%%/vlib/v/parser/tests/prefix_dec_expr_err.vv
+%%DATADIR%%/vlib/v/parser/tests/prefix_err_1.out
+%%DATADIR%%/vlib/v/parser/tests/prefix_err_1.vv
+%%DATADIR%%/vlib/v/parser/tests/prefix_err_2.out
+%%DATADIR%%/vlib/v/parser/tests/prefix_err_2.vv
%%DATADIR%%/vlib/v/parser/tests/prefix_inc_err.out
%%DATADIR%%/vlib/v/parser/tests/prefix_inc_err.vv
%%DATADIR%%/vlib/v/parser/tests/prohibit_redeclaration_of_builtin_types.out
@@ -5195,12 +5633,17 @@ bin/v
%%DATADIR%%/vlib/v/parser/tests/struct_field_unknown_module_b.vv
%%DATADIR%%/vlib/v/parser/tests/struct_field_unknown_module_c.out
%%DATADIR%%/vlib/v/parser/tests/struct_field_unknown_module_c.vv
+%%DATADIR%%/vlib/v/parser/tests/struct_init_from_another_mod_err.out
+%%DATADIR%%/vlib/v/parser/tests/struct_init_from_another_mod_err.vv
%%DATADIR%%/vlib/v/parser/tests/struct_module_section.out
%%DATADIR%%/vlib/v/parser/tests/struct_module_section.vv
%%DATADIR%%/vlib/v/parser/tests/struct_update_err.out
%%DATADIR%%/vlib/v/parser/tests/struct_update_err.vv
%%DATADIR%%/vlib/v/parser/tests/sum_type_exists_err.out
%%DATADIR%%/vlib/v/parser/tests/sum_type_exists_err.vv
+%%DATADIR%%/vlib/v/parser/tests/tmpl/include.txt
+%%DATADIR%%/vlib/v/parser/tests/tmpl_include_without_quotes.out
+%%DATADIR%%/vlib/v/parser/tests/tmpl_include_without_quotes.vv
%%DATADIR%%/vlib/v/parser/tests/too_many_generics_err.out
%%DATADIR%%/vlib/v/parser/tests/too_many_generics_err.vv
%%DATADIR%%/vlib/v/parser/tests/type_alias_existing_type_err.out
@@ -5223,6 +5666,7 @@ bin/v
%%DATADIR%%/vlib/v/parser/tests/unexpected_token.vv
%%DATADIR%%/vlib/v/parser/tmpl.v
%%DATADIR%%/vlib/v/parser/v_parser_test.v
+%%DATADIR%%/vlib/v/parser/v_print_v_files_works_test.v
%%DATADIR%%/vlib/v/pkgconfig/README.md
%%DATADIR%%/vlib/v/pkgconfig/bin/pkgconfig.v
%%DATADIR%%/vlib/v/pkgconfig/bin/pkgconfig_test.v
@@ -5253,7 +5697,7 @@ bin/v
%%DATADIR%%/vlib/v/pref/line_info.v
%%DATADIR%%/vlib/v/pref/options_test.v
%%DATADIR%%/vlib/v/pref/os.v
-%%DATADIR%%/vlib/v/pref/pref.v
+%%DATADIR%%/vlib/v/pref/pref.c.v
%%DATADIR%%/vlib/v/pref/pref_test.v
%%DATADIR%%/vlib/v/pref/should_compile.v
%%DATADIR%%/vlib/v/preludes/README.md
@@ -5263,7 +5707,7 @@ bin/v
%%DATADIR%%/vlib/v/preludes/live_main.v
%%DATADIR%%/vlib/v/preludes/live_shared.v
%%DATADIR%%/vlib/v/preludes/profiled_program.v
-%%DATADIR%%/vlib/v/preludes/test_runner.v
+%%DATADIR%%/vlib/v/preludes/test_runner.c.v
%%DATADIR%%/vlib/v/preludes/test_runner_dump.v
%%DATADIR%%/vlib/v/preludes/test_runner_normal.v
%%DATADIR%%/vlib/v/preludes/test_runner_simple.v
@@ -5297,8 +5741,14 @@ bin/v
%%DATADIR%%/vlib/v/scanner/tests/hex_consecutively_separator_err.vv
%%DATADIR%%/vlib/v/scanner/tests/hex_separator_in_front_err.out
%%DATADIR%%/vlib/v/scanner/tests/hex_separator_in_front_err.vv
-%%DATADIR%%/vlib/v/scanner/tests/invalid_unicode_err.out
-%%DATADIR%%/vlib/v/scanner/tests/invalid_unicode_err.vv
+%%DATADIR%%/vlib/v/scanner/tests/invalid_character_literal_err_1.out
+%%DATADIR%%/vlib/v/scanner/tests/invalid_character_literal_err_1.vv
+%%DATADIR%%/vlib/v/scanner/tests/invalid_character_literal_err_2.out
+%%DATADIR%%/vlib/v/scanner/tests/invalid_character_literal_err_2.vv
+%%DATADIR%%/vlib/v/scanner/tests/invalid_unicode_16_err.out
+%%DATADIR%%/vlib/v/scanner/tests/invalid_unicode_16_err.vv
+%%DATADIR%%/vlib/v/scanner/tests/invalid_unicode_32_err.out
+%%DATADIR%%/vlib/v/scanner/tests/invalid_unicode_32_err.vv
%%DATADIR%%/vlib/v/scanner/tests/newline_character_literal_err.out
%%DATADIR%%/vlib/v/scanner/tests/newline_character_literal_err.vv
%%DATADIR%%/vlib/v/scanner/tests/oct_consecutively_separator_err.out
@@ -5307,6 +5757,8 @@ bin/v
%%DATADIR%%/vlib/v/scanner/tests/oct_separator_in_front_err.vv
%%DATADIR%%/vlib/v/scanner/tests/position_0_err.out
%%DATADIR%%/vlib/v/scanner/tests/position_0_err.vv
+%%DATADIR%%/vlib/v/scanner/tests/shebang_outside_vsh_err.out
+%%DATADIR%%/vlib/v/scanner/tests/shebang_outside_vsh_err.vv
%%DATADIR%%/vlib/v/scanner/tests/undefined_ident_in_string_literal_err.out
%%DATADIR%%/vlib/v/scanner/tests/undefined_ident_in_string_literal_err.vv
%%DATADIR%%/vlib/v/scanner/tests/unfinished_string_literal_err.out
@@ -5340,8 +5792,14 @@ bin/v
%%DATADIR%%/vlib/v/slow_tests/inout/comptime_bool_fields.vv
%%DATADIR%%/vlib/v/slow_tests/inout/comptime_if_script_mode.out
%%DATADIR%%/vlib/v/slow_tests/inout/comptime_if_script_mode.vv
+%%DATADIR%%/vlib/v/slow_tests/inout/comptime_iterate.out
+%%DATADIR%%/vlib/v/slow_tests/inout/comptime_iterate.vv
%%DATADIR%%/vlib/v/slow_tests/inout/comptime_ptr.out
%%DATADIR%%/vlib/v/slow_tests/inout/comptime_ptr.vv
+%%DATADIR%%/vlib/v/slow_tests/inout/comptime_selector_of_interface.out
+%%DATADIR%%/vlib/v/slow_tests/inout/comptime_selector_of_interface.vv
+%%DATADIR%%/vlib/v/slow_tests/inout/comptime_smartcast_variant.out
+%%DATADIR%%/vlib/v/slow_tests/inout/comptime_smartcast_variant.vv
%%DATADIR%%/vlib/v/slow_tests/inout/data_obj.v.templ
%%DATADIR%%/vlib/v/slow_tests/inout/dump_expression.out
%%DATADIR%%/vlib/v/slow_tests/inout/dump_expression.vv
@@ -5489,7 +5947,7 @@ bin/v
%%DATADIR%%/vlib/v/slow_tests/inout/tmpl_parse_html.vv
%%DATADIR%%/vlib/v/slow_tests/inout/vscript_using_generics_in_os.out
%%DATADIR%%/vlib/v/slow_tests/inout/vscript_using_generics_in_os.vsh
-%%DATADIR%%/vlib/v/slow_tests/keep_args_alive_test.v
+%%DATADIR%%/vlib/v/slow_tests/keep_args_alive_test.c.v
%%DATADIR%%/vlib/v/slow_tests/keep_args_alive_test_c.h
%%DATADIR%%/vlib/v/slow_tests/modules/acommentedmodule/commentedfile.v
%%DATADIR%%/vlib/v/slow_tests/prod/.gitignore
@@ -5504,6 +5962,7 @@ bin/v
%%DATADIR%%/vlib/v/slow_tests/profile/profile_test_1.v
%%DATADIR%%/vlib/v/slow_tests/profile/profile_test_2.v
%%DATADIR%%/vlib/v/slow_tests/profile/profile_test_3.v
+%%DATADIR%%/vlib/v/slow_tests/profile/profile_test_interrupted.v
%%DATADIR%%/vlib/v/slow_tests/repl/.gitattributes
%%DATADIR%%/vlib/v/slow_tests/repl/.gitignore
%%DATADIR%%/vlib/v/slow_tests/repl/README.md
@@ -5529,7 +5988,7 @@ bin/v
%%DATADIR%%/vlib/v/slow_tests/repl/error_exitasdfasdf.repl
%%DATADIR%%/vlib/v/slow_tests/repl/error_nosave.repl.skip
%%DATADIR%%/vlib/v/slow_tests/repl/fn_calls.repl
-%%DATADIR%%/vlib/v/slow_tests/repl/function.repl.skip
+%%DATADIR%%/vlib/v/slow_tests/repl/if_and_for_with_print_inside_them.repl
%%DATADIR%%/vlib/v/slow_tests/repl/immutable_len_fields/fields.1.repl.skip
%%DATADIR%%/vlib/v/slow_tests/repl/immutable_len_fields/fields.2.repl.skip
%%DATADIR%%/vlib/v/slow_tests/repl/immutable_len_fields/fields.3.repl.skip
@@ -5569,9 +6028,10 @@ bin/v
%%DATADIR%%/vlib/v/slow_tests/valgrind/fn_call_result_arg.v
%%DATADIR%%/vlib/v/slow_tests/valgrind/fn_returning_string_param.v
%%DATADIR%%/vlib/v/slow_tests/valgrind/fn_with_return_should_free_local_vars.v
-%%DATADIR%%/vlib/v/slow_tests/valgrind/free_heap_foos.v
-%%DATADIR%%/vlib/v/slow_tests/valgrind/free_variables_in_reverse_order_of_creation.v
+%%DATADIR%%/vlib/v/slow_tests/valgrind/free_heap_foos.c.v
+%%DATADIR%%/vlib/v/slow_tests/valgrind/free_variables_in_reverse_order_of_creation.c.v
%%DATADIR%%/vlib/v/slow_tests/valgrind/if_expr.v
+%%DATADIR%%/vlib/v/slow_tests/valgrind/if_expr_autofree_optional.v
%%DATADIR%%/vlib/v/slow_tests/valgrind/if_expr_skip.v
%%DATADIR%%/vlib/v/slow_tests/valgrind/import_os_and_use_its_constants.v
%%DATADIR%%/vlib/v/slow_tests/valgrind/import_x_json2.v
@@ -5593,7 +6053,9 @@ bin/v
%%DATADIR%%/vlib/v/slow_tests/valgrind/valgrind_test.v
%%DATADIR%%/vlib/v/tests/addr_test.v
%%DATADIR%%/vlib/v/tests/aggregate_is_nodetype_test.v
+%%DATADIR%%/vlib/v/tests/alias_array_built_in_methods_test.v
%%DATADIR%%/vlib/v/tests/alias_array_has_method_test.v
+%%DATADIR%%/vlib/v/tests/alias_array_no_cast_init_test.v
%%DATADIR%%/vlib/v/tests/alias_array_operator_overloading_test.v
%%DATADIR%%/vlib/v/tests/alias_array_plus_operator_test.v
%%DATADIR%%/vlib/v/tests/alias_basic_types_test.v
@@ -5609,6 +6071,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/alias_map_keys_test.v
%%DATADIR%%/vlib/v/tests/alias_map_operator_overloading_test.v
%%DATADIR%%/vlib/v/tests/alias_operator_overloading_test.v
+%%DATADIR%%/vlib/v/tests/alias_string_match_test.v
%%DATADIR%%/vlib/v/tests/alias_sumtype_method_call_test.v
%%DATADIR%%/vlib/v/tests/alias_to_ptr_arg_test.v
%%DATADIR%%/vlib/v/tests/alias_to_sum_with_enum_test.v
@@ -5617,7 +6080,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/aliased_field_access_test.v
%%DATADIR%%/vlib/v/tests/aliased_option_fn_call_test.v
%%DATADIR%%/vlib/v/tests/aliasing_c_structs/cstructs.h
-%%DATADIR%%/vlib/v/tests/aliasing_c_structs/eq_operator_overload_of_c_struct_alias_test.v
+%%DATADIR%%/vlib/v/tests/aliasing_c_structs/eq_operator_overload_of_c_struct_alias_test.c.v
%%DATADIR%%/vlib/v/tests/aliasing_c_structs/v.mod
%%DATADIR%%/vlib/v/tests/anon_fn_call_test.v
%%DATADIR%%/vlib/v/tests/anon_fn_decl_inside_ternary_test.v
@@ -5626,6 +6089,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/anon_fn_fixed_arr_test.v
%%DATADIR%%/vlib/v/tests/anon_fn_in_containers_test.v
%%DATADIR%%/vlib/v/tests/anon_fn_option_call_in_if_expr_test.v
+%%DATADIR%%/vlib/v/tests/anon_fn_option_test.v
%%DATADIR%%/vlib/v/tests/anon_fn_redefinition_test.v
%%DATADIR%%/vlib/v/tests/anon_fn_returning_question_test.v
%%DATADIR%%/vlib/v/tests/anon_fn_test.v
@@ -5634,6 +6098,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/anon_fn_with_nested_anon_fn_args_test.v
%%DATADIR%%/vlib/v/tests/anon_fn_with_option_test.v
%%DATADIR%%/vlib/v/tests/anon_struct_assign_test.v
+%%DATADIR%%/vlib/v/tests/anon_struct_default_value_test.v
%%DATADIR%%/vlib/v/tests/anon_struct_type_test.v
%%DATADIR%%/vlib/v/tests/anon_struct_with_default_expr_test.v
%%DATADIR%%/vlib/v/tests/append_struct_to_interface_array_test.v
@@ -5647,12 +6112,15 @@ bin/v
%%DATADIR%%/vlib/v/tests/array_equality_test.v
%%DATADIR%%/vlib/v/tests/array_filter_of_fn_mut_arg_test.v
%%DATADIR%%/vlib/v/tests/array_filter_using_direct_closure_test.v
+%%DATADIR%%/vlib/v/tests/array_fixed_auto_clone_test.v
+%%DATADIR%%/vlib/v/tests/array_fixed_ptr_test.v
%%DATADIR%%/vlib/v/tests/array_get_anon_fn_value_test.v
%%DATADIR%%/vlib/v/tests/array_index_option_test.v
%%DATADIR%%/vlib/v/tests/array_init_element_size_equal_array_size_test.v
%%DATADIR%%/vlib/v/tests/array_init_test.v
%%DATADIR%%/vlib/v/tests/array_init_with_fields_test.v
%%DATADIR%%/vlib/v/tests/array_insert_as_mut_receiver_test.v
+%%DATADIR%%/vlib/v/tests/array_insert_variadic_arg_variable_test.v
%%DATADIR%%/vlib/v/tests/array_map_or_test.v
%%DATADIR%%/vlib/v/tests/array_map_ref_test.v
%%DATADIR%%/vlib/v/tests/array_method_using_it_in_defer_test.v
@@ -5687,12 +6155,14 @@ bin/v
%%DATADIR%%/vlib/v/tests/array_test.v
%%DATADIR%%/vlib/v/tests/array_to_string_test.v
%%DATADIR%%/vlib/v/tests/array_type_alias_test.v
+%%DATADIR%%/vlib/v/tests/array_with_fixed_array_test.v
%%DATADIR%%/vlib/v/tests/array_with_it_test.v
%%DATADIR%%/vlib/v/tests/arraydecompose_nonvariadic_test.v
%%DATADIR%%/vlib/v/tests/arrays_and_maps_of_empty_structs_should_work_test.v
%%DATADIR%%/vlib/v/tests/as_cast_already_smartcast_sumtype_test.v
%%DATADIR%%/vlib/v/tests/as_cast_is_expr_sumtype_fn_result_test.v
%%DATADIR%%/vlib/v/tests/as_cast_literal_test.v
+%%DATADIR%%/vlib/v/tests/as_cast_selector_test.v
%%DATADIR%%/vlib/v/tests/assert_fn_call_with_parentheses_test.v
%%DATADIR%%/vlib/v/tests/assert_if_guard_expr_test.v
%%DATADIR%%/vlib/v/tests/assert_should_evaluate_args_just_once_test.v
@@ -5707,6 +6177,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/assign_option_of_struct_fntype_field_test.v
%%DATADIR%%/vlib/v/tests/assign_shared_test.v
%%DATADIR%%/vlib/v/tests/assign_static_method_to_anon_fn_test.v
+%%DATADIR%%/vlib/v/tests/assign_type_checking_with_generics_test.v
%%DATADIR%%/vlib/v/tests/assign_with_in_module_sumtype_test.v
%%DATADIR%%/vlib/v/tests/atomic_test.v
%%DATADIR%%/vlib/v/tests/attribute_test.v
@@ -5720,11 +6191,14 @@ bin/v
%%DATADIR%%/vlib/v/tests/autolock_array2_test.v
%%DATADIR%%/vlib/v/tests/backtrace_test.v
%%DATADIR%%/vlib/v/tests/bench/bench_compare_tokens.v
+%%DATADIR%%/vlib/v/tests/bench/bench_gc_enable_disable_collect.v
%%DATADIR%%/vlib/v/tests/bench/bench_json_vs_json2.v
-%%DATADIR%%/vlib/v/tests/bench/bench_random_number_generation.v
+%%DATADIR%%/vlib/v/tests/bench/bench_rand_fill_buffer_from_set.v
+%%DATADIR%%/vlib/v/tests/bench/bench_random_number_generation.c.v
%%DATADIR%%/vlib/v/tests/bench/bench_stbi_load.v
%%DATADIR%%/vlib/v/tests/bench/bench_string_int.v
%%DATADIR%%/vlib/v/tests/bench/bench_string_key_in_map_vs_string_value_in_array.v
+%%DATADIR%%/vlib/v/tests/bench/bench_strings_similarity.v
%%DATADIR%%/vlib/v/tests/bench/gcboehm/GC_Ryzen_3800X_Linux.pdf
%%DATADIR%%/vlib/v/tests/bench/gcboehm/GC_Ryzen_3800X_Linux.svg
%%DATADIR%%/vlib/v/tests/bench/gcboehm/GC_bench.plt
@@ -5747,24 +6221,33 @@ bin/v
%%DATADIR%%/vlib/v/tests/blank_ident_test.v
%%DATADIR%%/vlib/v/tests/break_in_lock_test.v
%%DATADIR%%/vlib/v/tests/c_array_test.c
-%%DATADIR%%/vlib/v/tests/c_array_test.v
+%%DATADIR%%/vlib/v/tests/c_array_test.c.v
%%DATADIR%%/vlib/v/tests/c_function_mut_param/code.c
-%%DATADIR%%/vlib/v/tests/c_function_mut_param/code_test.v
-%%DATADIR%%/vlib/v/tests/c_function_mut_param/option_args_test.v
+%%DATADIR%%/vlib/v/tests/c_function_mut_param/code_test.c.v
+%%DATADIR%%/vlib/v/tests/c_function_mut_param/option_args_test.c.v
%%DATADIR%%/vlib/v/tests/c_function_mut_param/v.mod
-%%DATADIR%%/vlib/v/tests/c_struct_free/c_struct_free_property_test.v
+%%DATADIR%%/vlib/v/tests/c_struct_free/c_struct_free_property_test.c.v
%%DATADIR%%/vlib/v/tests/c_struct_free/free_struct.c
%%DATADIR%%/vlib/v/tests/c_struct_with_reserved_field_name_test.v
+%%DATADIR%%/vlib/v/tests/c_structs/cstruct.h
+%%DATADIR%%/vlib/v/tests/c_structs/cstruct_iterator_test.c.v
+%%DATADIR%%/vlib/v/tests/c_structs/cstruct_test.c.v
+%%DATADIR%%/vlib/v/tests/c_structs/iterator.h
+%%DATADIR%%/vlib/v/tests/c_structs/v.mod
%%DATADIR%%/vlib/v/tests/call_on_anon_test.v
%%DATADIR%%/vlib/v/tests/call_to_str_on_option_test.v
%%DATADIR%%/vlib/v/tests/calling_module_functions_with_maps_of_arrays_test.v
%%DATADIR%%/vlib/v/tests/cast_bool_to_int_test.v
%%DATADIR%%/vlib/v/tests/cast_comptime_test.v
+%%DATADIR%%/vlib/v/tests/cast_fixed_array_to_ptr_ptr_test.v
%%DATADIR%%/vlib/v/tests/cast_in_comptime_if_test.v
%%DATADIR%%/vlib/v/tests/cast_in_index_of_ref_fixed_array_test.v
%%DATADIR%%/vlib/v/tests/cast_int_to_interface_test.v
+%%DATADIR%%/vlib/v/tests/cast_interface_to_impl_test.v
%%DATADIR%%/vlib/v/tests/cast_none_to_option_test.v
%%DATADIR%%/vlib/v/tests/cast_option_to_interface_test.v
+%%DATADIR%%/vlib/v/tests/cast_precedence_test.v
+%%DATADIR%%/vlib/v/tests/cast_sumtype_as_generic_test.v
%%DATADIR%%/vlib/v/tests/cast_test.js.v
%%DATADIR%%/vlib/v/tests/cast_to_alias_test.v
%%DATADIR%%/vlib/v/tests/cast_to_byte_test.v
@@ -5774,8 +6257,9 @@ bin/v
%%DATADIR%%/vlib/v/tests/cast_to_u8_test.v
%%DATADIR%%/vlib/v/tests/cflags/includes/myinclude.h
%%DATADIR%%/vlib/v/tests/cflags/v.mod
-%%DATADIR%%/vlib/v/tests/cflags/vmodroot_and_vroot_test.v
+%%DATADIR%%/vlib/v/tests/cflags/vmodroot_and_vroot_test.c.v
%%DATADIR%%/vlib/v/tests/chan_fixed_test.v
+%%DATADIR%%/vlib/v/tests/chan_generic_test.v
%%DATADIR%%/vlib/v/tests/chan_interface_test.v
%%DATADIR%%/vlib/v/tests/channels_test.v
%%DATADIR%%/vlib/v/tests/char_literal_bytes_test.v
@@ -5783,7 +6267,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/check_init_value_for_arrays_of_option_test.v
%%DATADIR%%/vlib/v/tests/checks_for_operator_overrides_should_happen_on_the_concrete_types_when_using_generics_test.v
%%DATADIR%%/vlib/v/tests/clash_var_name_of_array_and_map_test.v
-%%DATADIR%%/vlib/v/tests/closure_data_with_gc_test.v
+%%DATADIR%%/vlib/v/tests/closure_data_with_gc_test.c.v
%%DATADIR%%/vlib/v/tests/closure_generator_test.v
%%DATADIR%%/vlib/v/tests/closure_in_if_guard_1_test.v
%%DATADIR%%/vlib/v/tests/closure_in_if_guard_2_test.v
@@ -5794,6 +6278,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/complex_assign_test.v
%%DATADIR%%/vlib/v/tests/complex_map_op_test.v
%%DATADIR%%/vlib/v/tests/comptime_arr_type_test.v
+%%DATADIR%%/vlib/v/tests/comptime_array_fixed_field_test.v
%%DATADIR%%/vlib/v/tests/comptime_at_test.v
%%DATADIR%%/vlib/v/tests/comptime_attribute_selector_test.v
%%DATADIR%%/vlib/v/tests/comptime_bittness_and_endianess_test.v
@@ -5806,6 +6291,8 @@ bin/v
%%DATADIR%%/vlib/v/tests/comptime_call_type_test.v
%%DATADIR%%/vlib/v/tests/comptime_concrete_type_register_test.v
%%DATADIR%%/vlib/v/tests/comptime_const_def_test.v
+%%DATADIR%%/vlib/v/tests/comptime_deref_or_ref_test.v
+%%DATADIR%%/vlib/v/tests/comptime_dump_test.v
%%DATADIR%%/vlib/v/tests/comptime_enum_test.v
%%DATADIR%%/vlib/v/tests/comptime_enum_values_test.v
%%DATADIR%%/vlib/v/tests/comptime_eq_test.v
@@ -5817,11 +6304,14 @@ bin/v
%%DATADIR%%/vlib/v/tests/comptime_for_in_field_typeof_test.v
%%DATADIR%%/vlib/v/tests/comptime_for_in_field_with_generic_fn_test.v
%%DATADIR%%/vlib/v/tests/comptime_for_in_fields_FieldData_test.v
+%%DATADIR%%/vlib/v/tests/comptime_for_map_arg_test.v
%%DATADIR%%/vlib/v/tests/comptime_for_method_call_in_print_call_test.v
%%DATADIR%%/vlib/v/tests/comptime_for_method_call_test.v
%%DATADIR%%/vlib/v/tests/comptime_for_method_call_with_args_test.v
+%%DATADIR%%/vlib/v/tests/comptime_for_mod_name_test.v
%%DATADIR%%/vlib/v/tests/comptime_for_over_struct_with_C_reserved_word_fields_test.v
%%DATADIR%%/vlib/v/tests/comptime_for_test.v
+%%DATADIR%%/vlib/v/tests/comptime_generic_arg_test.v
%%DATADIR%%/vlib/v/tests/comptime_generic_ret_test.v
%%DATADIR%%/vlib/v/tests/comptime_generic_test.v
%%DATADIR%%/vlib/v/tests/comptime_if_expr_generic_typ_is_type_test.v
@@ -5839,31 +6329,44 @@ bin/v
%%DATADIR%%/vlib/v/tests/comptime_in_type_checking_test.v
%%DATADIR%%/vlib/v/tests/comptime_indirection_check_test.v
%%DATADIR%%/vlib/v/tests/comptime_is_check_test.v
+%%DATADIR%%/vlib/v/tests/comptime_is_interface_check_test.v
%%DATADIR%%/vlib/v/tests/comptime_kinds_test.v
+%%DATADIR%%/vlib/v/tests/comptime_map_generic_test.v
%%DATADIR%%/vlib/v/tests/comptime_map_it_test.v
%%DATADIR%%/vlib/v/tests/comptime_map_test.v
%%DATADIR%%/vlib/v/tests/comptime_method_args_test.v
%%DATADIR%%/vlib/v/tests/comptime_method_call_test.v
%%DATADIR%%/vlib/v/tests/comptime_method_call_with_check_test.v
+%%DATADIR%%/vlib/v/tests/comptime_method_test.v
%%DATADIR%%/vlib/v/tests/comptime_name_check_test.v
%%DATADIR%%/vlib/v/tests/comptime_on_generics_func_test.v
+%%DATADIR%%/vlib/v/tests/comptime_on_method_arg_test.v
%%DATADIR%%/vlib/v/tests/comptime_option_field_test.v
%%DATADIR%%/vlib/v/tests/comptime_println_test.v
%%DATADIR%%/vlib/v/tests/comptime_propagate_test.v
+%%DATADIR%%/vlib/v/tests/comptime_selector_generic_arg_test.v
%%DATADIR%%/vlib/v/tests/comptime_selector_member_test.v
%%DATADIR%%/vlib/v/tests/comptime_selector_ptr_test.v
+%%DATADIR%%/vlib/v/tests/comptime_smart_receiver_test.v
+%%DATADIR%%/vlib/v/tests/comptime_smartcast_assert_test.v
+%%DATADIR%%/vlib/v/tests/comptime_smartcast_test.v
+%%DATADIR%%/vlib/v/tests/comptime_smartcast_var_test.v
%%DATADIR%%/vlib/v/tests/comptime_sumtype_cast_2_test.v
%%DATADIR%%/vlib/v/tests/comptime_sumtype_cast_3_test.v
%%DATADIR%%/vlib/v/tests/comptime_sumtype_cast_test.v
%%DATADIR%%/vlib/v/tests/comptime_type_test.v
%%DATADIR%%/vlib/v/tests/comptime_var_assignment_test.v
+%%DATADIR%%/vlib/v/tests/comptime_var_is_check_test.v
%%DATADIR%%/vlib/v/tests/comptime_var_on_multiple_args_test.v
%%DATADIR%%/vlib/v/tests/comptime_var_param_test.v
+%%DATADIR%%/vlib/v/tests/comptime_variant_test.v
%%DATADIR%%/vlib/v/tests/comptimeselector_mut_test.v
+%%DATADIR%%/vlib/v/tests/concat_option_test.v
%%DATADIR%%/vlib/v/tests/concrete_type_as_generic_fn_type_1_test.v
%%DATADIR%%/vlib/v/tests/concrete_type_as_generic_fn_type_2_test.v
%%DATADIR%%/vlib/v/tests/const_and_global_with_same_name_test.v
%%DATADIR%%/vlib/v/tests/const_array_init_order_test.v
+%%DATADIR%%/vlib/v/tests/const_array_struct_test.v
%%DATADIR%%/vlib/v/tests/const_as_enum_value_test.v
%%DATADIR%%/vlib/v/tests/const_call_expr_order_test.v
%%DATADIR%%/vlib/v/tests/const_can_use_options_results_test.v
@@ -5872,13 +6375,17 @@ bin/v
%%DATADIR%%/vlib/v/tests/const_eval_simple_int_expressions_at_comptime_test.v
%%DATADIR%%/vlib/v/tests/const_fixed_array_containing_references_to_itself_test.v
%%DATADIR%%/vlib/v/tests/const_fixed_array_of_reference_value_test.v
+%%DATADIR%%/vlib/v/tests/const_fixed_array_of_string_value_msvc_test.v
%%DATADIR%%/vlib/v/tests/const_from_bytes_test.v
%%DATADIR%%/vlib/v/tests/const_from_comptime_if_expr_test.v
%%DATADIR%%/vlib/v/tests/const_from_multi_branchs_of_if_expr_test.v
%%DATADIR%%/vlib/v/tests/const_function_call_init_order_test.v
+%%DATADIR%%/vlib/v/tests/const_global_arr_test.v
+%%DATADIR%%/vlib/v/tests/const_init_array_index_test.v
%%DATADIR%%/vlib/v/tests/const_init_order_test.v
%%DATADIR%%/vlib/v/tests/const_many_pluses_with_raw_string_literal_test.v
%%DATADIR%%/vlib/v/tests/const_name_equals_fn_name_test.v
+%%DATADIR%%/vlib/v/tests/const_order_with_str_interp_test.v
%%DATADIR%%/vlib/v/tests/const_reference_argument_test.v
%%DATADIR%%/vlib/v/tests/const_representation_test.v
%%DATADIR%%/vlib/v/tests/const_resolution_test.v
@@ -5888,24 +6395,26 @@ bin/v
%%DATADIR%%/vlib/v/tests/const_with_fn_param_with_the_same_name_test.v
%%DATADIR%%/vlib/v/tests/constant_array_size_test.v
%%DATADIR%%/vlib/v/tests/conversions_test.v
-%%DATADIR%%/vlib/v/tests/create_dll/create_win_dll.v
+%%DATADIR%%/vlib/v/tests/create_dll/create_win_dll.c.v
%%DATADIR%%/vlib/v/tests/create_dll/create_win_dll_test.v
%%DATADIR%%/vlib/v/tests/cross_assign_aliased_array_test.v
%%DATADIR%%/vlib/v/tests/cross_assign_fixed_array_test.v
%%DATADIR%%/vlib/v/tests/cross_assign_test.v
%%DATADIR%%/vlib/v/tests/cross_assign_with_generic_fn_call_test.v
%%DATADIR%%/vlib/v/tests/cross_assign_with_parentheses_test.v
-%%DATADIR%%/vlib/v/tests/cstrings_test.v
+%%DATADIR%%/vlib/v/tests/cross_method_call_test.v
+%%DATADIR%%/vlib/v/tests/cstrings_test.c.v
%%DATADIR%%/vlib/v/tests/custom_str_on_struct_with_too_many_fields_test.v
%%DATADIR%%/vlib/v/tests/default_thread_stack_size_test.v
%%DATADIR%%/vlib/v/tests/defer/defer_return_test.v
%%DATADIR%%/vlib/v/tests/defer/defer_test.v
%%DATADIR%%/vlib/v/tests/defer/defer_with_fn_var_test.v
+%%DATADIR%%/vlib/v/tests/defer_if_comptime_test.v
%%DATADIR%%/vlib/v/tests/defer_use_returned_value_test.v
%%DATADIR%%/vlib/v/tests/dereference_mut_interface_in_loop_test.v
%%DATADIR%%/vlib/v/tests/differently_named_structs_test.v
%%DATADIR%%/vlib/v/tests/double_ref_deref_test.v
-%%DATADIR%%/vlib/v/tests/dump_c_structs/dump_c_struct_test.v
+%%DATADIR%%/vlib/v/tests/dump_c_structs/dump_c_struct_test.c.v
%%DATADIR%%/vlib/v/tests/dump_c_structs/epoll.h
%%DATADIR%%/vlib/v/tests/dump_c_structs/netdb.h
%%DATADIR%%/vlib/v/tests/dump_c_structs/v.mod
@@ -5931,6 +6440,8 @@ bin/v
%%DATADIR%%/vlib/v/tests/enum_default_test.v
%%DATADIR%%/vlib/v/tests/enum_default_value_in_struct_test.v
%%DATADIR%%/vlib/v/tests/enum_explicit_size_big_and_small_test.v
+%%DATADIR%%/vlib/v/tests/enum_flag_test.v
+%%DATADIR%%/vlib/v/tests/enum_from_generic_static_method_test.v
%%DATADIR%%/vlib/v/tests/enum_hex_test.v
%%DATADIR%%/vlib/v/tests/enum_max_test.v
%%DATADIR%%/vlib/v/tests/enum_static_from_string_test.v
@@ -5940,10 +6451,16 @@ bin/v
%%DATADIR%%/vlib/v/tests/field_publicity/embed.v
%%DATADIR%%/vlib/v/tests/filter_in_map_test.v
%%DATADIR%%/vlib/v/tests/filter_test.v
+%%DATADIR%%/vlib/v/tests/filtering_tests/filtering_android_outside_termux_test.v
+%%DATADIR%%/vlib/v/tests/filtering_tests/filtering_macos_test.v
+%%DATADIR%%/vlib/v/tests/filtering_tests/filtering_nix_test.v
+%%DATADIR%%/vlib/v/tests/filtering_tests/filtering_windows_test.v
%%DATADIR%%/vlib/v/tests/fixed_array_2_test.v
%%DATADIR%%/vlib/v/tests/fixed_array_chan_test.v
%%DATADIR%%/vlib/v/tests/fixed_array_const_size_test.v
%%DATADIR%%/vlib/v/tests/fixed_array_explicit_decompose_test.v
+%%DATADIR%%/vlib/v/tests/fixed_array_generic_ini_test.v
+%%DATADIR%%/vlib/v/tests/fixed_array_generic_ret_test.v
%%DATADIR%%/vlib/v/tests/fixed_array_in_op_test.v
%%DATADIR%%/vlib/v/tests/fixed_array_init_test.v
%%DATADIR%%/vlib/v/tests/fixed_array_literal_index_test.v
@@ -5955,19 +6472,24 @@ bin/v
%%DATADIR%%/vlib/v/tests/fixed_array_of_option_test.v
%%DATADIR%%/vlib/v/tests/fixed_array_of_threads_test.v
%%DATADIR%%/vlib/v/tests/fixed_array_of_threads_wait_test.v
+%%DATADIR%%/vlib/v/tests/fixed_array_op_overload_test.v
+%%DATADIR%%/vlib/v/tests/fixed_array_return_decl_test.v
%%DATADIR%%/vlib/v/tests/fixed_array_test.v
%%DATADIR%%/vlib/v/tests/fixed_array_to_string_test.v
%%DATADIR%%/vlib/v/tests/fn_assignment_test.v
%%DATADIR%%/vlib/v/tests/fn_call_comptime_array_arg_test.v
%%DATADIR%%/vlib/v/tests/fn_call_fixed_array_literal_args_test.v
%%DATADIR%%/vlib/v/tests/fn_call_generic_array_arg_test.v
+%%DATADIR%%/vlib/v/tests/fn_call_interface_args_test.v
%%DATADIR%%/vlib/v/tests/fn_call_mut_array_of_aliases_args_test.v
%%DATADIR%%/vlib/v/tests/fn_call_mut_sumtype_args_test.v
%%DATADIR%%/vlib/v/tests/fn_call_using_anon_fn_call_args_test.v
+%%DATADIR%%/vlib/v/tests/fn_call_with_newline_opening_brace_test.v
%%DATADIR%%/vlib/v/tests/fn_cross_assign_test.v
%%DATADIR%%/vlib/v/tests/fn_expecting_ref_but_returning_struct_test.v
%%DATADIR%%/vlib/v/tests/fn_expecting_ref_but_returning_struct_time_module_test.v
%%DATADIR%%/vlib/v/tests/fn_fixed_array_ret_test.v
+%%DATADIR%%/vlib/v/tests/fn_heap_promoted_test.v
%%DATADIR%%/vlib/v/tests/fn_high_test.v
%%DATADIR%%/vlib/v/tests/fn_index_direct_call_test.v
%%DATADIR%%/vlib/v/tests/fn_literal_type_test.v
@@ -5983,7 +6505,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/fn_return_opt_or_res_of_array_test.v
%%DATADIR%%/vlib/v/tests/fn_return_typeof_test.v
%%DATADIR%%/vlib/v/tests/fn_shared_return_test.v
-%%DATADIR%%/vlib/v/tests/fn_test.v
+%%DATADIR%%/vlib/v/tests/fn_test.c.v
%%DATADIR%%/vlib/v/tests/fn_type_aliases_test.v
%%DATADIR%%/vlib/v/tests/fn_type_call_of_match_expr_test.v
%%DATADIR%%/vlib/v/tests/fn_type_only_argument_test.v
@@ -6028,6 +6550,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/for_loops_test.v
%%DATADIR%%/vlib/v/tests/for_select_test.v
%%DATADIR%%/vlib/v/tests/for_smartcast_test.v
+%%DATADIR%%/vlib/v/tests/for_sumtype_arr_test.v
%%DATADIR%%/vlib/v/tests/for_t_fields_with_comptime_if_test.v
%%DATADIR%%/vlib/v/tests/forcomp_alias_type_test.v
%%DATADIR%%/vlib/v/tests/generic_array_clone_test.v
@@ -6038,10 +6561,12 @@ bin/v
%%DATADIR%%/vlib/v/tests/generic_chan_test.v
%%DATADIR%%/vlib/v/tests/generic_comparison_for_conditional_assign_test.v
%%DATADIR%%/vlib/v/tests/generic_complex_sumtype_test.v
+%%DATADIR%%/vlib/v/tests/generic_comptime_map_test.v
%%DATADIR%%/vlib/v/tests/generic_comptime_test.v
%%DATADIR%%/vlib/v/tests/generic_empty_interface_to_multi_struct_test.v
%%DATADIR%%/vlib/v/tests/generic_empty_interface_to_struct_test.v
%%DATADIR%%/vlib/v/tests/generic_fn_assign_generics_struct_test.v
+%%DATADIR%%/vlib/v/tests/generic_fn_call_map_keys_values_test.v
%%DATADIR%%/vlib/v/tests/generic_fn_call_with_reference_argument_test.v
%%DATADIR%%/vlib/v/tests/generic_fn_cast_to_alias_test.v
%%DATADIR%%/vlib/v/tests/generic_fn_infer_fixed_array_test.v
@@ -6068,6 +6593,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/generic_fn_with_short_generic_struct_init_syntax_1_test.v
%%DATADIR%%/vlib/v/tests/generic_fn_with_short_generic_struct_init_syntax_2_test.v
%%DATADIR%%/vlib/v/tests/generic_functions_with_normal_function_test.v
+%%DATADIR%%/vlib/v/tests/generic_interface_array_type_infer_test.v
%%DATADIR%%/vlib/v/tests/generic_interface_infer_test.v
%%DATADIR%%/vlib/v/tests/generic_interface_test.v
%%DATADIR%%/vlib/v/tests/generic_method_with_variadic_generic_args_test.v
@@ -6075,6 +6601,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/generic_recursive_fn_test.v
%%DATADIR%%/vlib/v/tests/generic_resolve_test.v
%%DATADIR%%/vlib/v/tests/generic_selector_test.v
+%%DATADIR%%/vlib/v/tests/generic_smartcast_test.v
%%DATADIR%%/vlib/v/tests/generic_static_method_test.v
%%DATADIR%%/vlib/v/tests/generic_struct_init_with_field_struct_init_test.v
%%DATADIR%%/vlib/v/tests/generic_struct_init_with_generic_cast_test.v
@@ -6089,6 +6616,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/generic_sumtype_of_alias_generic_struct_test.v
%%DATADIR%%/vlib/v/tests/generic_sumtype_str_test.v
%%DATADIR%%/vlib/v/tests/generic_sumtype_test.v
+%%DATADIR%%/vlib/v/tests/generic_with_ptr_as_param_test.v
%%DATADIR%%/vlib/v/tests/generics_T_typ_test.v
%%DATADIR%%/vlib/v/tests/generics_anon_fn_decl_with_type_only_arg_test.v
%%DATADIR%%/vlib/v/tests/generics_array_append_test.v
@@ -6097,14 +6625,19 @@ bin/v
%%DATADIR%%/vlib/v/tests/generics_array_drop_test.v
%%DATADIR%%/vlib/v/tests/generics_array_init_test.v
%%DATADIR%%/vlib/v/tests/generics_array_map_with_generic_callback_test.v
+%%DATADIR%%/vlib/v/tests/generics_array_method_call_with_multi_types_test.v
%%DATADIR%%/vlib/v/tests/generics_array_of_interface_method_call_test.v
%%DATADIR%%/vlib/v/tests/generics_array_of_threads_test.v
%%DATADIR%%/vlib/v/tests/generics_array_typedef_test.v
%%DATADIR%%/vlib/v/tests/generics_assign_reference_generic_struct_test.v
+%%DATADIR%%/vlib/v/tests/generics_call_with_fixed_array_arg_test.v
+%%DATADIR%%/vlib/v/tests/generics_call_with_interface_arg_test.v
%%DATADIR%%/vlib/v/tests/generics_call_with_reference_arg_test.v
+%%DATADIR%%/vlib/v/tests/generics_chans_select_test.v
%%DATADIR%%/vlib/v/tests/generics_closure_fn_direct_call_test.v
%%DATADIR%%/vlib/v/tests/generics_closure_fn_test.v
%%DATADIR%%/vlib/v/tests/generics_closures_with_different_generic_types_test.v
+%%DATADIR%%/vlib/v/tests/generics_fixed_array_assign_test.v
%%DATADIR%%/vlib/v/tests/generics_fn_return_generic_interface_test.v
%%DATADIR%%/vlib/v/tests/generics_fn_return_result_test.v
%%DATADIR%%/vlib/v/tests/generics_fn_return_types_with_generic_struct_test.v
@@ -6121,11 +6654,13 @@ bin/v
%%DATADIR%%/vlib/v/tests/generics_indirect_test.v
%%DATADIR%%/vlib/v/tests/generics_interface_decl_test.v
%%DATADIR%%/vlib/v/tests/generics_interface_method_test.v
+%%DATADIR%%/vlib/v/tests/generics_interface_with_generic_method_using_generic_struct_test.v
%%DATADIR%%/vlib/v/tests/generics_interface_with_generic_sumtype_test.v
%%DATADIR%%/vlib/v/tests/generics_interface_with_multi_generic_structs_test.v
%%DATADIR%%/vlib/v/tests/generics_interface_with_multi_generic_types_test.v
%%DATADIR%%/vlib/v/tests/generics_interface_with_non_generic_method_test.v
%%DATADIR%%/vlib/v/tests/generics_map_with_generic_type_key_test.v
+%%DATADIR%%/vlib/v/tests/generics_method_call_with_short_syntax_args_test.v
%%DATADIR%%/vlib/v/tests/generics_method_on_alias_struct_receiver_test.v
%%DATADIR%%/vlib/v/tests/generics_method_on_embed_struct_test.v
%%DATADIR%%/vlib/v/tests/generics_method_on_generic_structs_test.v
@@ -6138,6 +6673,8 @@ bin/v
%%DATADIR%%/vlib/v/tests/generics_method_str_overload_test.v
%%DATADIR%%/vlib/v/tests/generics_method_test.v
%%DATADIR%%/vlib/v/tests/generics_method_variable_test.v
+%%DATADIR%%/vlib/v/tests/generics_method_with_diff_generic_names_test.v
+%%DATADIR%%/vlib/v/tests/generics_method_with_embedded_structs_test.v
%%DATADIR%%/vlib/v/tests/generics_method_with_generic_anon_fn_argument_test.v
%%DATADIR%%/vlib/v/tests/generics_method_with_multi_types_test.v
%%DATADIR%%/vlib/v/tests/generics_method_with_nested_generic_method_test.v
@@ -6146,6 +6683,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/generics_multi_type_comptime_call_test.v
%%DATADIR%%/vlib/v/tests/generics_multi_types_struct_init_test.v
%%DATADIR%%/vlib/v/tests/generics_nested_struct_init_test.v
+%%DATADIR%%/vlib/v/tests/generics_return_fixed_array_test.v
%%DATADIR%%/vlib/v/tests/generics_return_generics_struct_test.v
%%DATADIR%%/vlib/v/tests/generics_return_inconsistent_types_generics_struct_test.v
%%DATADIR%%/vlib/v/tests/generics_return_multi_array_test.v
@@ -6157,6 +6695,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/generics_struct_anon_fn_type_test.v
%%DATADIR%%/vlib/v/tests/generics_struct_field_with_default_fn_type_test.v
%%DATADIR%%/vlib/v/tests/generics_struct_field_with_default_struct_value_test.v
+%%DATADIR%%/vlib/v/tests/generics_struct_field_with_generics_struct_elements_test.v
%%DATADIR%%/vlib/v/tests/generics_struct_free_test.v
%%DATADIR%%/vlib/v/tests/generics_struct_init_in_generic_fn_test.v
%%DATADIR%%/vlib/v/tests/generics_struct_init_test.v
@@ -6169,6 +6708,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/generics_struct_init_with_inconsistent_generic_types_6_test.v
%%DATADIR%%/vlib/v/tests/generics_struct_init_with_inconsistent_generic_types_7_test.v
%%DATADIR%%/vlib/v/tests/generics_struct_inst_method_call_test.v
+%%DATADIR%%/vlib/v/tests/generics_struct_method_params_is_generics_struct_and_not_called_test.v
%%DATADIR%%/vlib/v/tests/generics_struct_no_key_init_test.v
%%DATADIR%%/vlib/v/tests/generics_struct_parent_has_str_to_string_test.v
%%DATADIR%%/vlib/v/tests/generics_struct_to_string_test.v
@@ -6183,9 +6723,12 @@ bin/v
%%DATADIR%%/vlib/v/tests/generics_with_assign_nested_generics_call_test.v
%%DATADIR%%/vlib/v/tests/generics_with_cascaded_multiple_nested_generics_fn_test.v
%%DATADIR%%/vlib/v/tests/generics_with_complex_nested_generics_type_test.v
+%%DATADIR%%/vlib/v/tests/generics_with_embed_generics_method_call_test.v
+%%DATADIR%%/vlib/v/tests/generics_with_embed_generics_structs_test.v
%%DATADIR%%/vlib/v/tests/generics_with_embed_generics_test.v
%%DATADIR%%/vlib/v/tests/generics_with_fixed_array_type_test.v
%%DATADIR%%/vlib/v/tests/generics_with_generics_fn_return_generics_fn_type_test.v
+%%DATADIR%%/vlib/v/tests/generics_with_generics_fn_return_generics_map_type_test.v
%%DATADIR%%/vlib/v/tests/generics_with_generics_fn_type_parameter_test.v
%%DATADIR%%/vlib/v/tests/generics_with_generics_struct_init_test.v
%%DATADIR%%/vlib/v/tests/generics_with_generics_struct_receiver_test.v
@@ -6213,6 +6756,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/go_call_fn_return_test.v
%%DATADIR%%/vlib/v/tests/go_call_fn_using_map_value_test.v
%%DATADIR%%/vlib/v/tests/go_call_fn_with_anon_fn_arg_test.v
+%%DATADIR%%/vlib/v/tests/go_call_fn_with_anon_fn_array_arg_test.v
%%DATADIR%%/vlib/v/tests/go_call_generic_fn_test.v
%%DATADIR%%/vlib/v/tests/go_call_interface_method_test.v
%%DATADIR%%/vlib/v/tests/go_handle_for_functions_returning_array_test.v
@@ -6250,6 +6794,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/if_guard_test.v
%%DATADIR%%/vlib/v/tests/if_guard_with_multi_return_test.v
%%DATADIR%%/vlib/v/tests/if_guard_with_struct_option_fntype_field_test.v
+%%DATADIR%%/vlib/v/tests/if_match_branches_with_call_expr_with_or_block_test.v
%%DATADIR%%/vlib/v/tests/if_smartcast_likely_test.v
%%DATADIR%%/vlib/v/tests/if_smartcast_multi_conds_test.v
%%DATADIR%%/vlib/v/tests/if_smartcast_nested_selector_exprs_test.v
@@ -6257,9 +6802,11 @@ bin/v
%%DATADIR%%/vlib/v/tests/iface_arg_test.v
%%DATADIR%%/vlib/v/tests/ifcomp_expr_evaluate_test.v
%%DATADIR%%/vlib/v/tests/ifexpr_with_option_result_test.v
+%%DATADIR%%/vlib/v/tests/import_aliases_test.v
%%DATADIR%%/vlib/v/tests/imported_symbols_test.v
%%DATADIR%%/vlib/v/tests/in_expression_test.v
%%DATADIR%%/vlib/v/tests/infer_generic_array_type_in_nested_call_test.v
+%%DATADIR%%/vlib/v/tests/infix_expr_in_mut_receiver_method_test.v
%%DATADIR%%/vlib/v/tests/infix_expr_test.v
%%DATADIR%%/vlib/v/tests/infix_expr_with_overflow_test.v
%%DATADIR%%/vlib/v/tests/inherited_vars_test.v
@@ -6270,6 +6817,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/interface_and_embedded_struct_build_test.v
%%DATADIR%%/vlib/v/tests/interface_array_methods_test.v
%%DATADIR%%/vlib/v/tests/interface_auto_str_gen_test.v
+%%DATADIR%%/vlib/v/tests/interface_auto_str_test.v
%%DATADIR%%/vlib/v/tests/interface_closure_test.v
%%DATADIR%%/vlib/v/tests/interface_edge_cases/array_of_interfaces_test.v
%%DATADIR%%/vlib/v/tests/interface_edge_cases/array_of_interfaces_with_utility_fn_test.v
@@ -6297,6 +6845,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/interface_embedding_smartcast_test.v
%%DATADIR%%/vlib/v/tests/interface_embedding_test.v
%%DATADIR%%/vlib/v/tests/interface_embedding_with_interface_para_test.v
+%%DATADIR%%/vlib/v/tests/interface_eq_methods_with_option_and_ref_test.v
%%DATADIR%%/vlib/v/tests/interface_equality_test.v
%%DATADIR%%/vlib/v/tests/interface_fields_test.v
%%DATADIR%%/vlib/v/tests/interface_fields_typearray_test.v
@@ -6304,6 +6853,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/interface_fn_return_with_struct_init_test.v
%%DATADIR%%/vlib/v/tests/interface_method_using_struct_embed_test.v
%%DATADIR%%/vlib/v/tests/interface_method_with_struct_embed_test.v
+%%DATADIR%%/vlib/v/tests/interface_multi_return_test.v
%%DATADIR%%/vlib/v/tests/interface_nested_field_test.v
%%DATADIR%%/vlib/v/tests/interface_only_decl_with_option_test.v
%%DATADIR%%/vlib/v/tests/interface_runtime_conversions_test.v
@@ -6311,6 +6861,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/interface_struct_embedding_test.v
%%DATADIR%%/vlib/v/tests/interface_struct_test.v
%%DATADIR%%/vlib/v/tests/interface_test.v
+%%DATADIR%%/vlib/v/tests/interface_var_test.v
%%DATADIR%%/vlib/v/tests/interface_variadic_test.v
%%DATADIR%%/vlib/v/tests/interface_with_multi_nested_embed_1_test.v
%%DATADIR%%/vlib/v/tests/interface_with_multi_nested_embed_2_test.v
@@ -6328,6 +6879,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/known_errors/testdata/shared_in_alias_test.vv
%%DATADIR%%/vlib/v/tests/lambda_as_struct_field_value_test.v
%%DATADIR%%/vlib/v/tests/lambda_expr_test.v
+%%DATADIR%%/vlib/v/tests/lambda_expr_with_result_test.v
%%DATADIR%%/vlib/v/tests/local/local.v
%%DATADIR%%/vlib/v/tests/local_test.v
%%DATADIR%%/vlib/v/tests/lock_selector_test.v
@@ -6335,18 +6887,22 @@ bin/v
%%DATADIR%%/vlib/v/tests/map_and_array_with_fns_test.v
%%DATADIR%%/vlib/v/tests/map_assign_array_of_interface_test.v
%%DATADIR%%/vlib/v/tests/map_assign_interface_values_with_implicit_casts_test.v
+%%DATADIR%%/vlib/v/tests/map_auto_str_ptr_test.v
%%DATADIR%%/vlib/v/tests/map_builtin_call_test.v
+%%DATADIR%%/vlib/v/tests/map_clear_test.v
%%DATADIR%%/vlib/v/tests/map_complex_array_test.v
%%DATADIR%%/vlib/v/tests/map_complex_fixed_array_test.v
%%DATADIR%%/vlib/v/tests/map_enum_keys_test.v
%%DATADIR%%/vlib/v/tests/map_equality_test.v
%%DATADIR%%/vlib/v/tests/map_fn_test.v
+%%DATADIR%%/vlib/v/tests/map_generic_call_test.v
%%DATADIR%%/vlib/v/tests/map_get_anon_fn_value_test.v
%%DATADIR%%/vlib/v/tests/map_get_anon_fn_value_with_mut_arg_test.v
%%DATADIR%%/vlib/v/tests/map_get_assign_blank_test.v
%%DATADIR%%/vlib/v/tests/map_high_order_assign_test.v
%%DATADIR%%/vlib/v/tests/map_init_with_enum_keys_test.v
%%DATADIR%%/vlib/v/tests/map_init_with_multi_enum_keys_test.v
+%%DATADIR%%/vlib/v/tests/map_init_with_update_test.v
%%DATADIR%%/vlib/v/tests/map_key_alias_test.v
%%DATADIR%%/vlib/v/tests/map_key_expr_test.v
%%DATADIR%%/vlib/v/tests/map_literals_method_call_test.v
@@ -6414,7 +6970,11 @@ bin/v
%%DATADIR%%/vlib/v/tests/match_with_complex_sumtype_exprs_test.v
%%DATADIR%%/vlib/v/tests/match_with_comptime_if_expr_in_branch_test.v
%%DATADIR%%/vlib/v/tests/match_with_multi_sumtype_exprs_test.v
+%%DATADIR%%/vlib/v/tests/match_with_return_result_test.v
+%%DATADIR%%/vlib/v/tests/method_call_chained_test.v
+%%DATADIR%%/vlib/v/tests/method_call_none_check_test.v
%%DATADIR%%/vlib/v/tests/method_call_on_aggregate_test.v
+%%DATADIR%%/vlib/v/tests/method_call_resolve_test.v
%%DATADIR%%/vlib/v/tests/method_call_var_comp_test.v
%%DATADIR%%/vlib/v/tests/method_first_last_call_test.v
%%DATADIR%%/vlib/v/tests/methods_as_fields_test.v
@@ -6429,7 +6989,15 @@ bin/v
%%DATADIR%%/vlib/v/tests/modules/amodule/internal_module_test.v
%%DATADIR%%/vlib/v/tests/modules/amodule/module.v
%%DATADIR%%/vlib/v/tests/modules/another_module/module.v
+%%DATADIR%%/vlib/v/tests/modules/consts_with_complex_init/config.v
+%%DATADIR%%/vlib/v/tests/modules/consts_with_complex_init/consts_should_not_conflict_test.v
+%%DATADIR%%/vlib/v/tests/modules/consts_with_or_blocks_in_different_files/config.v
+%%DATADIR%%/vlib/v/tests/modules/consts_with_or_blocks_in_different_files/consts_should_not_conflict_test.v
%%DATADIR%%/vlib/v/tests/modules/ecs/ecs.v
+%%DATADIR%%/vlib/v/tests/modules/enum_from_string_in_different_mods/src/main_test.v
+%%DATADIR%%/vlib/v/tests/modules/enum_from_string_in_different_mods/src/mod/mod.v
+%%DATADIR%%/vlib/v/tests/modules/enum_from_string_in_different_mods/src/mod2/mod2.v
+%%DATADIR%%/vlib/v/tests/modules/enum_from_string_in_different_mods/v.mod
%%DATADIR%%/vlib/v/tests/modules/geometry/geometry.v
%%DATADIR%%/vlib/v/tests/modules/interface_from_another_module/main_test.v
%%DATADIR%%/vlib/v/tests/modules/interface_from_another_module/mod/mod.v
@@ -6442,7 +7010,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/modules/sub/foo/c/foo.h
%%DATADIR%%/vlib/v/tests/modules/sub/foo/c/foo.v
%%DATADIR%%/vlib/v/tests/modules/sub/foo/c/v.mod
-%%DATADIR%%/vlib/v/tests/modules/sub/foo.v
+%%DATADIR%%/vlib/v/tests/modules/sub/foo.c.v
%%DATADIR%%/vlib/v/tests/modules/sub/sub_test.v
%%DATADIR%%/vlib/v/tests/modules/submodules/submodules.v
%%DATADIR%%/vlib/v/tests/modules/submodules/submodules_test.v
@@ -6456,6 +7024,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/multiple_embed_external_interface_test.v
%%DATADIR%%/vlib/v/tests/multiple_embed_struct_init_test.v
%%DATADIR%%/vlib/v/tests/multiple_embed_struct_with_duplicate_field_init_test.v
+%%DATADIR%%/vlib/v/tests/multiple_fixed_array_var_init_test.v
%%DATADIR%%/vlib/v/tests/multiple_generic_resolve_test.v
%%DATADIR%%/vlib/v/tests/multiple_matchs_in_one_expr_test.v
%%DATADIR%%/vlib/v/tests/multiple_paths_in_vmodules/main.vv
@@ -6493,13 +7062,17 @@ bin/v
%%DATADIR%%/vlib/v/tests/operator_overloading_with_string_interpolation_test.v
%%DATADIR%%/vlib/v/tests/option_2_test.v
%%DATADIR%%/vlib/v/tests/option_alias_eq_test.v
+%%DATADIR%%/vlib/v/tests/option_arr_auto_str_test.v
%%DATADIR%%/vlib/v/tests/option_array_dump_in_generic_fn_test.v
%%DATADIR%%/vlib/v/tests/option_array_init_test.v
%%DATADIR%%/vlib/v/tests/option_array_submodule_test.v
%%DATADIR%%/vlib/v/tests/option_array_test.v
%%DATADIR%%/vlib/v/tests/option_assign_none_test.v
+%%DATADIR%%/vlib/v/tests/option_auto_eq_test.v
%%DATADIR%%/vlib/v/tests/option_auto_heap_test.v
+%%DATADIR%%/vlib/v/tests/option_call_on_orexpr_test.v
%%DATADIR%%/vlib/v/tests/option_call_propagation_test.v
+%%DATADIR%%/vlib/v/tests/option_cast_test.v
%%DATADIR%%/vlib/v/tests/option_compvar_types_test.v
%%DATADIR%%/vlib/v/tests/option_compvar_val_test.v
%%DATADIR%%/vlib/v/tests/option_concat_str_test.v
@@ -6514,6 +7087,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/option_generic_return_test.v
%%DATADIR%%/vlib/v/tests/option_if_assign_and_fallthrough_test.v
%%DATADIR%%/vlib/v/tests/option_if_expr_test.v
+%%DATADIR%%/vlib/v/tests/option_if_option_test.v
%%DATADIR%%/vlib/v/tests/option_import_struct_test.v
%%DATADIR%%/vlib/v/tests/option_in_loop_test.v
%%DATADIR%%/vlib/v/tests/option_init_ptr_test.v
@@ -6528,7 +7102,9 @@ bin/v
%%DATADIR%%/vlib/v/tests/option_multi_return_assign_test.v
%%DATADIR%%/vlib/v/tests/option_multi_return_test.v
%%DATADIR%%/vlib/v/tests/option_nested_struct_test.v
+%%DATADIR%%/vlib/v/tests/option_operator_overload_test.v
%%DATADIR%%/vlib/v/tests/option_or_block_test.v
+%%DATADIR%%/vlib/v/tests/option_or_result_fixed_arr_test.v
%%DATADIR%%/vlib/v/tests/option_print_errors_test.v
%%DATADIR%%/vlib/v/tests/option_print_ptr_test.v
%%DATADIR%%/vlib/v/tests/option_ptr_arg_heap_test.v
@@ -6536,19 +7112,25 @@ bin/v
%%DATADIR%%/vlib/v/tests/option_ptr_cast_test.v
%%DATADIR%%/vlib/v/tests/option_ptr_generic_test.v
%%DATADIR%%/vlib/v/tests/option_ptr_iface_test.v
+%%DATADIR%%/vlib/v/tests/option_ptr_init_empty_test.v
%%DATADIR%%/vlib/v/tests/option_ptr_init_test.v
%%DATADIR%%/vlib/v/tests/option_ptr_ptr_test.v
%%DATADIR%%/vlib/v/tests/option_ptr_test.v
%%DATADIR%%/vlib/v/tests/option_push_array_opt_test.v
+%%DATADIR%%/vlib/v/tests/option_return_fixed_arr_test.v
+%%DATADIR%%/vlib/v/tests/option_selector_assign_test.v
%%DATADIR%%/vlib/v/tests/option_selector_cast_test.v
%%DATADIR%%/vlib/v/tests/option_selector_test.v
%%DATADIR%%/vlib/v/tests/option_struct_compare_test.v
+%%DATADIR%%/vlib/v/tests/option_struct_field_init_test.v
%%DATADIR%%/vlib/v/tests/option_struct_init_interface_test.v
%%DATADIR%%/vlib/v/tests/option_struct_init_test.v
%%DATADIR%%/vlib/v/tests/option_struct_init_with_opt_test.v
%%DATADIR%%/vlib/v/tests/option_struct_init_with_ref_opt_test.v
-%%DATADIR%%/vlib/v/tests/option_test.v
+%%DATADIR%%/vlib/v/tests/option_sumtype_test.v
+%%DATADIR%%/vlib/v/tests/option_test.c.v
%%DATADIR%%/vlib/v/tests/option_unwrap_assign_test.v
+%%DATADIR%%/vlib/v/tests/option_unwrap_fn_test.v
%%DATADIR%%/vlib/v/tests/option_unwrap_print_test.v
%%DATADIR%%/vlib/v/tests/option_unwrap_test.v
%%DATADIR%%/vlib/v/tests/option_var_2_test.v
@@ -6560,12 +7142,14 @@ bin/v
%%DATADIR%%/vlib/v/tests/or_expr_with_comptime_test.v
%%DATADIR%%/vlib/v/tests/or_expr_with_multi_stmts_test.v
%%DATADIR%%/vlib/v/tests/or_expr_with_nested_match_expr_test.v
+%%DATADIR%%/vlib/v/tests/orm_create_several_tables_test.v
%%DATADIR%%/vlib/v/tests/orm_enum_test.v
%%DATADIR%%/vlib/v/tests/orm_handle_error_for_select_from_not_created_table_test.v
%%DATADIR%%/vlib/v/tests/orm_joined_tables_select_test.v
%%DATADIR%%/vlib/v/tests/orm_stmt_wrong_return_checking_test.v
%%DATADIR%%/vlib/v/tests/orm_sub_array_struct_test.v
%%DATADIR%%/vlib/v/tests/orm_sub_struct_test.v
+%%DATADIR%%/vlib/v/tests/orm_table_name_test.v
%%DATADIR%%/vlib/v/tests/parse_invalid_map_type_test.v
%%DATADIR%%/vlib/v/tests/parse_thread_type_test.v
%%DATADIR%%/vlib/v/tests/parse_type_of_ref_array_from_another_mod_test.v
@@ -6585,21 +7169,21 @@ bin/v
%%DATADIR%%/vlib/v/tests/print_smartcast_variable_test.v
%%DATADIR%%/vlib/v/tests/print_test.v
%%DATADIR%%/vlib/v/tests/printing_c_structs/cstruct.h
-%%DATADIR%%/vlib/v/tests/printing_c_structs/string_interpolation_test.v
+%%DATADIR%%/vlib/v/tests/printing_c_structs/string_interpolation_test.c.v
%%DATADIR%%/vlib/v/tests/printing_c_structs/v.mod
%%DATADIR%%/vlib/v/tests/project_with_c_code/.gitignore
%%DATADIR%%/vlib/v/tests/project_with_c_code/main.v
%%DATADIR%%/vlib/v/tests/project_with_c_code/main1_test.v
%%DATADIR%%/vlib/v/tests/project_with_c_code/mod1/c/header.h
%%DATADIR%%/vlib/v/tests/project_with_c_code/mod1/c/implementation.c
-%%DATADIR%%/vlib/v/tests/project_with_c_code/mod1/wrapper.v
+%%DATADIR%%/vlib/v/tests/project_with_c_code/mod1/wrapper.c.v
%%DATADIR%%/vlib/v/tests/project_with_c_code/v.mod
%%DATADIR%%/vlib/v/tests/project_with_c_code_2/.gitignore
%%DATADIR%%/vlib/v/tests/project_with_c_code_2/main.v
%%DATADIR%%/vlib/v/tests/project_with_c_code_2/main2_test.v
%%DATADIR%%/vlib/v/tests/project_with_c_code_2/modc/header.h
%%DATADIR%%/vlib/v/tests/project_with_c_code_2/modc/impl.c
-%%DATADIR%%/vlib/v/tests/project_with_c_code_2/modc/wrapper.v
+%%DATADIR%%/vlib/v/tests/project_with_c_code_2/modc/wrapper.c.v
%%DATADIR%%/vlib/v/tests/project_with_c_code_2/v.mod
%%DATADIR%%/vlib/v/tests/project_with_c_code_3/.gitignore
%%DATADIR%%/vlib/v/tests/project_with_c_code_3/main.v
@@ -6610,9 +7194,9 @@ bin/v
%%DATADIR%%/vlib/v/tests/project_with_c_code_3/v.mod
%%DATADIR%%/vlib/v/tests/project_with_c_code_ct_ifs/a_linux.h
%%DATADIR%%/vlib/v/tests/project_with_c_code_ct_ifs/a_nonlinux.h
-%%DATADIR%%/vlib/v/tests/project_with_c_code_ct_ifs/ctimeifblock.v
+%%DATADIR%%/vlib/v/tests/project_with_c_code_ct_ifs/ctimeifblock.c.v
%%DATADIR%%/vlib/v/tests/project_with_c_code_ct_ifs/v.mod
-%%DATADIR%%/vlib/v/tests/project_with_cpp_code/compiling_cpp_files_with_a_cplusplus_compiler_test.v
+%%DATADIR%%/vlib/v/tests/project_with_cpp_code/compiling_cpp_files_with_a_cplusplus_compiler_test.c.v
%%DATADIR%%/vlib/v/tests/project_with_cpp_code/implementation.cpp
%%DATADIR%%/vlib/v/tests/project_with_cpp_code/implementation.h
%%DATADIR%%/vlib/v/tests/project_with_cpp_code/v.mod
@@ -6662,8 +7246,10 @@ bin/v
%%DATADIR%%/vlib/v/tests/return_fixed_array_test.v
%%DATADIR%%/vlib/v/tests/return_if_expr_with_custom_error_test.v
%%DATADIR%%/vlib/v/tests/return_in_lock_test.v
+%%DATADIR%%/vlib/v/tests/return_map_index_with_or_block_test.v
%%DATADIR%%/vlib/v/tests/return_match_expr_of_sumtype_result_test.v
%%DATADIR%%/vlib/v/tests/return_match_expr_with_custom_error_test.v
+%%DATADIR%%/vlib/v/tests/return_option_call_in_non_option_fn_test.v
%%DATADIR%%/vlib/v/tests/return_option_call_test.v
%%DATADIR%%/vlib/v/tests/return_option_test.v
%%DATADIR%%/vlib/v/tests/return_result_in_or_block_test.v
@@ -6672,7 +7258,9 @@ bin/v
%%DATADIR%%/vlib/v/tests/run_v_code_from_stdin_test.v
%%DATADIR%%/vlib/v/tests/scanner_and_and_not_test.v
%%DATADIR%%/vlib/v/tests/script_with_no_extension
+%%DATADIR%%/vlib/v/tests/select_auto_sync_test.v
%%DATADIR%%/vlib/v/tests/selectorexpr_alias_to_ptr_test.v
+%%DATADIR%%/vlib/v/tests/selectorexpt_field_name_test.v
%%DATADIR%%/vlib/v/tests/semaphore_test.v
%%DATADIR%%/vlib/v/tests/semaphore_timed_test.v
%%DATADIR%%/vlib/v/tests/shared_arg_test.v
@@ -6724,6 +7312,9 @@ bin/v
%%DATADIR%%/vlib/v/tests/skip_unused/generics_array_delete_method.run.out
%%DATADIR%%/vlib/v/tests/skip_unused/generics_array_delete_method.skip_unused.run.out
%%DATADIR%%/vlib/v/tests/skip_unused/generics_array_delete_method.vv
+%%DATADIR%%/vlib/v/tests/skip_unused/generics_as_receiver.run.out
+%%DATADIR%%/vlib/v/tests/skip_unused/generics_as_receiver.skip_unused.run.out
+%%DATADIR%%/vlib/v/tests/skip_unused/generics_as_receiver.vv
%%DATADIR%%/vlib/v/tests/skip_unused/generics_method.run.out
%%DATADIR%%/vlib/v/tests/skip_unused/generics_method.skip_unused.run.out
%%DATADIR%%/vlib/v/tests/skip_unused/generics_method.vv
@@ -6751,6 +7342,9 @@ bin/v
%%DATADIR%%/vlib/v/tests/skip_unused/vweb_run_at.run.out
%%DATADIR%%/vlib/v/tests/skip_unused/vweb_run_at.skip_unused.run.out
%%DATADIR%%/vlib/v/tests/skip_unused/vweb_run_at.vv
+%%DATADIR%%/vlib/v/tests/skip_unused/x_vweb_run_at.run.out
+%%DATADIR%%/vlib/v/tests/skip_unused/x_vweb_run_at.skip_unused.run.out
+%%DATADIR%%/vlib/v/tests/skip_unused/x_vweb_run_at.vv
%%DATADIR%%/vlib/v/tests/slice_rval_test.v
%%DATADIR%%/vlib/v/tests/sorting_by_different_criteria_test.v
%%DATADIR%%/vlib/v/tests/sorting_by_references_test.v
@@ -6810,11 +7404,14 @@ bin/v
%%DATADIR%%/vlib/v/tests/struct_field_default_value_sumtype_cast_test.v
%%DATADIR%%/vlib/v/tests/struct_field_fixed_array_init_test.v
%%DATADIR%%/vlib/v/tests/struct_field_fn_call_test.v
+%%DATADIR%%/vlib/v/tests/struct_field_init_with_generic_anon_fn_test.v
+%%DATADIR%%/vlib/v/tests/struct_field_init_with_generic_fn_test.v
%%DATADIR%%/vlib/v/tests/struct_field_option_type_with_default_value_init_test.v
%%DATADIR%%/vlib/v/tests/struct_fields_required_test.v
%%DATADIR%%/vlib/v/tests/struct_fields_storing_functions_test.v
%%DATADIR%%/vlib/v/tests/struct_ierror_test.v
%%DATADIR%%/vlib/v/tests/struct_init_and_assign_test.v
+%%DATADIR%%/vlib/v/tests/struct_init_update_with_generics_test.v
%%DATADIR%%/vlib/v/tests/struct_init_update_with_mutable_receiver_test.v
%%DATADIR%%/vlib/v/tests/struct_init_with_chan_field_test.v
%%DATADIR%%/vlib/v/tests/struct_init_with_complex_fields_test.v
@@ -6846,6 +7443,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/sumtype_equality_test.v
%%DATADIR%%/vlib/v/tests/sumtype_literal_test.v
%%DATADIR%%/vlib/v/tests/sumtype_on_match_test.v
+%%DATADIR%%/vlib/v/tests/sumtype_ptr_arg_test.v
%%DATADIR%%/vlib/v/tests/sumtype_str_for_subtypes_with_str_test.v
%%DATADIR%%/vlib/v/tests/sumtype_str_test.v
%%DATADIR%%/vlib/v/tests/sumtype_with_alias_fntype_fn_call_test.v
@@ -6868,6 +7466,8 @@ bin/v
%%DATADIR%%/vlib/v/tests/testdata/tests_returning_options_failing_test.v
%%DATADIR%%/vlib/v/tests/testdata/trace_calls/simple.vv
%%DATADIR%%/vlib/v/tests/testdata/trace_calls/simple.vv.must_match
+%%DATADIR%%/vlib/v/tests/testdata/trace_calls/single_println.vv
+%%DATADIR%%/vlib/v/tests/testdata/trace_calls/single_println.vv.must_match
%%DATADIR%%/vlib/v/tests/testdata/trace_calls/with_modules.vv
%%DATADIR%%/vlib/v/tests/testdata/trace_calls/with_modules.vv.must_match
%%DATADIR%%/vlib/v/tests/testdata/usecache_and_mods/aaa/aa1.v
@@ -6888,11 +7488,15 @@ bin/v
%%DATADIR%%/vlib/v/tests/testdata/usecache_and_mods/zz/z1.v
%%DATADIR%%/vlib/v/tests/testdata/usecache_and_mods/zz/zz.v
%%DATADIR%%/vlib/v/tests/thread_array_test.v
+%%DATADIR%%/vlib/v/tests/thread_ptr_ret_test.v
+%%DATADIR%%/vlib/v/tests/thread_returns_test.v
%%DATADIR%%/vlib/v/tests/thread_to_string_test.v
%%DATADIR%%/vlib/v/tests/tmpl/a.txt
%%DATADIR%%/vlib/v/tests/tmpl/b.txt
%%DATADIR%%/vlib/v/tests/tmpl/base.txt
+%%DATADIR%%/vlib/v/tests/tmpl/include.txt
%%DATADIR%%/vlib/v/tests/tmpl/inner.txt
+%%DATADIR%%/vlib/v/tests/tmpl/interpolation.txt
%%DATADIR%%/vlib/v/tests/tmpl/selective_interpolation_in_script_tag.html
%%DATADIR%%/vlib/v/tests/tmpl/template.in
%%DATADIR%%/vlib/v/tests/tmpl/template.txt
@@ -6900,6 +7504,7 @@ bin/v
%%DATADIR%%/vlib/v/tests/tmpl_script_tag_interpolation_test.v
%%DATADIR%%/vlib/v/tests/tmpl_test.v
%%DATADIR%%/vlib/v/tests/tmpl_using_variable_or_const_path_test.v
+%%DATADIR%%/vlib/v/tests/tmpl_with_double_quotes_test.v
%%DATADIR%%/vlib/v/tests/tmpl_with_single_quotes_test.v
%%DATADIR%%/vlib/v/tests/trace_calls_test.v
%%DATADIR%%/vlib/v/tests/translated_test.v
@@ -6920,9 +7525,10 @@ bin/v
%%DATADIR%%/vlib/v/tests/unaliased_typ_checking_test.v
%%DATADIR%%/vlib/v/tests/unreachable_code_paths_test.v
%%DATADIR%%/vlib/v/tests/unsafe_fixed_array_test.v
-%%DATADIR%%/vlib/v/tests/unsafe_test.v
+%%DATADIR%%/vlib/v/tests/unsafe_test.c.v
%%DATADIR%%/vlib/v/tests/unsigned_right_shift_test.v
%%DATADIR%%/vlib/v/tests/use_alias_from_another_module_in_struct_field_test.v
+%%DATADIR%%/vlib/v/tests/var_name_using_reserved_test.v
%%DATADIR%%/vlib/v/tests/var_option_arr_test.v
%%DATADIR%%/vlib/v/tests/var_option_as_arg_test.v
%%DATADIR%%/vlib/v/tests/var_option_comptime_test.v
@@ -6948,8 +7554,10 @@ bin/v
%%DATADIR%%/vlib/v/token/keywords_matcher_trie_test.v
%%DATADIR%%/vlib/v/token/pos.v
%%DATADIR%%/vlib/v/token/token.v
-%%DATADIR%%/vlib/v/trace_calls/tracing_calls.v
+%%DATADIR%%/vlib/v/trace_calls/tracing_calls.c.v
%%DATADIR%%/vlib/v/trace_calls/tracing_calls_d_musl.v
+%%DATADIR%%/vlib/v/trace_calls/tracing_calls_nix.c.v
+%%DATADIR%%/vlib/v/trace_calls/tracing_calls_windows.c.v
%%DATADIR%%/vlib/v/transformer/index_state.v
%%DATADIR%%/vlib/v/transformer/transformer.v
%%DATADIR%%/vlib/v/util/diff/diff.v
@@ -6966,7 +7574,7 @@ bin/v
%%DATADIR%%/vlib/v/util/util.c.v
%%DATADIR%%/vlib/v/util/util.js.v
%%DATADIR%%/vlib/v/util/util.v
-%%DATADIR%%/vlib/v/util/version/version.v
+%%DATADIR%%/vlib/v/util/version/version.c.v
%%DATADIR%%/vlib/v/util/vtest/vtest.v
%%DATADIR%%/vlib/v/vcache/vcache.v
%%DATADIR%%/vlib/v/vcache/vcache_test.v
@@ -6974,6 +7582,44 @@ bin/v
%%DATADIR%%/vlib/v/vmod/parser.v
%%DATADIR%%/vlib/v/vmod/parser_test.v
%%DATADIR%%/vlib/v/vmod/vmod.v
+%%DATADIR%%/vlib/v2/ast/ast.v
+%%DATADIR%%/vlib/v2/ast/desugar.v
+%%DATADIR%%/vlib/v2/builder/builder.v
+%%DATADIR%%/vlib/v2/builder/parse.v
+%%DATADIR%%/vlib/v2/builder/parse_parallel.v
+%%DATADIR%%/vlib/v2/builder/type_check.v
+%%DATADIR%%/vlib/v2/builder/util.v
+%%DATADIR%%/vlib/v2/errors/details.v
+%%DATADIR%%/vlib/v2/errors/error.v
+%%DATADIR%%/vlib/v2/errors/util.v
+%%DATADIR%%/vlib/v2/gen/v/gen.v
+%%DATADIR%%/vlib/v2/parser/parser.v
+%%DATADIR%%/vlib/v2/parser/type.v
+%%DATADIR%%/vlib/v2/pref/module.v
+%%DATADIR%%/vlib/v2/pref/pref.v
+%%DATADIR%%/vlib/v2/scanner/scanner.v
+%%DATADIR%%/vlib/v2/tests/advance.v_
+%%DATADIR%%/vlib/v2/tests/generic_a.v_
+%%DATADIR%%/vlib/v2/tests/generic_fn.v_
+%%DATADIR%%/vlib/v2/tests/if_a.v
+%%DATADIR%%/vlib/v2/tests/selector_expr.v
+%%DATADIR%%/vlib/v2/tests/string_interpolation.v
+%%DATADIR%%/vlib/v2/tests/syntax.v_
+%%DATADIR%%/vlib/v2/tests/syntax_ambiguities.v_
+%%DATADIR%%/vlib/v2/tests/syntax_generics.v_
+%%DATADIR%%/vlib/v2/tests/typeof.v_
+%%DATADIR%%/vlib/v2/token/position.v
+%%DATADIR%%/vlib/v2/token/token.v
+%%DATADIR%%/vlib/v2/token/util.v
+%%DATADIR%%/vlib/v2/types/checker.v
+%%DATADIR%%/vlib/v2/types/module.v
+%%DATADIR%%/vlib/v2/types/object.v
+%%DATADIR%%/vlib/v2/types/scope.v
+%%DATADIR%%/vlib/v2/types/types.v
+%%DATADIR%%/vlib/v2/types/universe.v
+%%DATADIR%%/vlib/v2/util/worker_pool.v
+%%DATADIR%%/vlib/veb/auth/README.md
+%%DATADIR%%/vlib/veb/auth/auth.v
%%DATADIR%%/vlib/vweb/README.md
%%DATADIR%%/vlib/vweb/assets/assets.v
%%DATADIR%%/vlib/vweb/assets/assets_test.v
@@ -6986,10 +7632,16 @@ bin/v
%%DATADIR%%/vlib/vweb/tests/controller_duplicate_server.v
%%DATADIR%%/vlib/vweb/tests/controller_test.v
%%DATADIR%%/vlib/vweb/tests/controller_test_server.v
+%%DATADIR%%/vlib/vweb/tests/dynamic_template_manager_test_server/dynamic_template_manager_test_server.v
+%%DATADIR%%/vlib/vweb/tests/dynamic_template_manager_test_server/static/index.css
+%%DATADIR%%/vlib/vweb/tests/dynamic_template_manager_test_server/templates/comment.html
+%%DATADIR%%/vlib/vweb/tests/dynamic_template_manager_test_server/templates/index.html
+%%DATADIR%%/vlib/vweb/tests/dynamic_template_manager_test_server/vcache_dtm/.gitkeep
%%DATADIR%%/vlib/vweb/tests/middleware_test.v
%%DATADIR%%/vlib/vweb/tests/middleware_test_server.v
+%%DATADIR%%/vlib/vweb/tests/vweb_should_listen_on_both_ipv4_and_ipv6_by_default_test.v
%%DATADIR%%/vlib/vweb/tests/vweb_test.v
-%%DATADIR%%/vlib/vweb/tests/vweb_test_server.v
+%%DATADIR%%/vlib/vweb/tests/vweb_test_server/server.v
%%DATADIR%%/vlib/vweb/vweb.v
%%DATADIR%%/vlib/vweb/vweb_app_test.v
%%DATADIR%%/vlib/vweb/vweb_livereload.v
@@ -7007,30 +7659,70 @@ bin/v
%%DATADIR%%/vlib/wasm/tests/patch_test.v
%%DATADIR%%/vlib/wasm/tests/var_test.v
%%DATADIR%%/vlib/x/README.md
+%%DATADIR%%/vlib/x/crypto/chacha20/README.md
+%%DATADIR%%/vlib/x/crypto/chacha20/chacha.v
+%%DATADIR%%/vlib/x/crypto/chacha20/chacha_test.v
+%%DATADIR%%/vlib/x/crypto/chacha20/xchacha.v
+%%DATADIR%%/vlib/x/crypto/chacha20/xchacha_test.v
+%%DATADIR%%/vlib/x/crypto/chacha20poly1305/README.md
+%%DATADIR%%/vlib/x/crypto/chacha20poly1305/chacha20poly1305.v
+%%DATADIR%%/vlib/x/crypto/chacha20poly1305/chacha20poly1305_test.v
+%%DATADIR%%/vlib/x/crypto/chacha20poly1305/usage_test.v
+%%DATADIR%%/vlib/x/crypto/poly1305/README.md
+%%DATADIR%%/vlib/x/crypto/poly1305/custom.v
+%%DATADIR%%/vlib/x/crypto/poly1305/poly1305.v
+%%DATADIR%%/vlib/x/crypto/poly1305/poly1305_test.v
+%%DATADIR%%/vlib/x/crypto/poly1305/usage_test.v
+%%DATADIR%%/vlib/x/crypto/sm4/README.md
+%%DATADIR%%/vlib/x/crypto/sm4/SM4.pdf
+%%DATADIR%%/vlib/x/crypto/sm4/sm4.v
+%%DATADIR%%/vlib/x/crypto/sm4/sm4_test.v
%%DATADIR%%/vlib/x/json2/README.md
-%%DATADIR%%/vlib/x/json2/any_test.v
-%%DATADIR%%/vlib/x/json2/decode_struct_test.v
-%%DATADIR%%/vlib/x/json2/decode_struct_todo_test.vv
+%%DATADIR%%/vlib/x/json2/count.v
+%%DATADIR%%/vlib/x/json2/count_test.v
%%DATADIR%%/vlib/x/json2/decoder.v
-%%DATADIR%%/vlib/x/json2/decoder_test.v
-%%DATADIR%%/vlib/x/json2/encode_option_test.v
-%%DATADIR%%/vlib/x/json2/encode_struct_test.v
-%%DATADIR%%/vlib/x/json2/encode_struct_todo_test.vv
%%DATADIR%%/vlib/x/json2/encoder.v
-%%DATADIR%%/vlib/x/json2/encoder_test.v
-%%DATADIR%%/vlib/x/json2/integer_primitives_test.v
%%DATADIR%%/vlib/x/json2/json2.v
-%%DATADIR%%/vlib/x/json2/json2_test.v
-%%DATADIR%%/vlib/x/json2/json_module_compatibility_test/json_decode_todo_test.vv
-%%DATADIR%%/vlib/x/json2/json_module_compatibility_test/json_decode_with_encode_arg_test.v
-%%DATADIR%%/vlib/x/json2/json_module_compatibility_test/json_decode_with_generic_todo_test.vv
-%%DATADIR%%/vlib/x/json2/json_module_compatibility_test/json_decode_with_option_arg_test.v
-%%DATADIR%%/vlib/x/json2/json_module_compatibility_test/json_decode_with_sumtype_todo_test.vv
-%%DATADIR%%/vlib/x/json2/json_module_compatibility_test/json_test.v
-%%DATADIR%%/vlib/x/json2/json_module_compatibility_test/json_todo_test.vv
%%DATADIR%%/vlib/x/json2/scanner.v
%%DATADIR%%/vlib/x/json2/scanner_test.v
+%%DATADIR%%/vlib/x/json2/strict/strict.v
+%%DATADIR%%/vlib/x/json2/strict/strict_test.v
+%%DATADIR%%/vlib/x/json2/strict/strict_test_todo.vv
+%%DATADIR%%/vlib/x/json2/tests/any_test.v
+%%DATADIR%%/vlib/x/json2/tests/decode_struct_test.v
+%%DATADIR%%/vlib/x/json2/tests/decode_struct_todo_test.vv
+%%DATADIR%%/vlib/x/json2/tests/decoder_test.v
+%%DATADIR%%/vlib/x/json2/tests/encode_option_test.v
+%%DATADIR%%/vlib/x/json2/tests/encode_struct_skippable_fields_test.v
+%%DATADIR%%/vlib/x/json2/tests/encode_struct_test.v
+%%DATADIR%%/vlib/x/json2/tests/encode_struct_todo_test.vv
+%%DATADIR%%/vlib/x/json2/tests/encoder_test.v
+%%DATADIR%%/vlib/x/json2/tests/integer_primitives_test.v
+%%DATADIR%%/vlib/x/json2/tests/json2_test.v
+%%DATADIR%%/vlib/x/json2/tests/json_module_compatibility_test/json_decode_todo_test.vv
+%%DATADIR%%/vlib/x/json2/tests/json_module_compatibility_test/json_decode_with_encode_arg_test.v
+%%DATADIR%%/vlib/x/json2/tests/json_module_compatibility_test/json_decode_with_generic_todo_test.vv
+%%DATADIR%%/vlib/x/json2/tests/json_module_compatibility_test/json_decode_with_option_arg_test.v
+%%DATADIR%%/vlib/x/json2/tests/json_module_compatibility_test/json_decode_with_sumtype_todo_test.vv
+%%DATADIR%%/vlib/x/json2/tests/json_module_compatibility_test/json_test.v
+%%DATADIR%%/vlib/x/json2/tests/json_module_compatibility_test/json_todo_test.vv
%%DATADIR%%/vlib/x/json2/types.v
+%%DATADIR%%/vlib/x/sessions/README.md
+%%DATADIR%%/vlib/x/sessions/db_store.v
+%%DATADIR%%/vlib/x/sessions/memory_store.v
+%%DATADIR%%/vlib/x/sessions/sessions.v
+%%DATADIR%%/vlib/x/sessions/store.v
+%%DATADIR%%/vlib/x/sessions/tests/db_store_test.v
+%%DATADIR%%/vlib/x/sessions/tests/memory_store_test.v
+%%DATADIR%%/vlib/x/sessions/tests/session_app_test.v
+%%DATADIR%%/vlib/x/sessions/tests/session_test.v
+%%DATADIR%%/vlib/x/sessions/vweb2_middleware/vweb2_middleware.v
+%%DATADIR%%/vlib/x/templating/dtm/README.md
+%%DATADIR%%/vlib/x/templating/dtm/dynamic_template_manager.v
+%%DATADIR%%/vlib/x/templating/dtm/dynamic_template_manager_cache_system_test.v
+%%DATADIR%%/vlib/x/templating/dtm/dynamic_template_manager_test.v
+%%DATADIR%%/vlib/x/templating/dtm/escape_html_strings_in_templates.v
+%%DATADIR%%/vlib/x/templating/dtm/tmpl.v
%%DATADIR%%/vlib/x/ttf/README.md
%%DATADIR%%/vlib/x/ttf/common.v
%%DATADIR%%/vlib/x/ttf/render_bmp.v
@@ -7039,4 +7731,40 @@ bin/v
%%DATADIR%%/vlib/x/ttf/ttf.v
%%DATADIR%%/vlib/x/ttf/ttf_test.v
%%DATADIR%%/vlib/x/ttf/ttf_test_data.bin
+%%DATADIR%%/vlib/x/vweb/README.md
+%%DATADIR%%/vlib/x/vweb/assets/README.md
+%%DATADIR%%/vlib/x/vweb/assets/assets.v
+%%DATADIR%%/vlib/x/vweb/assets/assets_test.v
+%%DATADIR%%/vlib/x/vweb/context.v
+%%DATADIR%%/vlib/x/vweb/controller.v
+%%DATADIR%%/vlib/x/vweb/csrf/README.md
+%%DATADIR%%/vlib/x/vweb/csrf/csrf.v
+%%DATADIR%%/vlib/x/vweb/csrf/csrf_test.v
+%%DATADIR%%/vlib/x/vweb/escape_html_strings_in_templates.v
+%%DATADIR%%/vlib/x/vweb/middleware.v
+%%DATADIR%%/vlib/x/vweb/parse.v
+%%DATADIR%%/vlib/x/vweb/route_test.v
+%%DATADIR%%/vlib/x/vweb/sendfile_linux.c.v
+%%DATADIR%%/vlib/x/vweb/sse/README.md
+%%DATADIR%%/vlib/x/vweb/sse/sse.v
+%%DATADIR%%/vlib/x/vweb/sse/sse_test.v
+%%DATADIR%%/vlib/x/vweb/static_handler.v
+%%DATADIR%%/vlib/x/vweb/tests/controller_test.v
+%%DATADIR%%/vlib/x/vweb/tests/cors_test.v
+%%DATADIR%%/vlib/x/vweb/tests/large_payload_test.v
+%%DATADIR%%/vlib/x/vweb/tests/middleware_test.v
+%%DATADIR%%/vlib/x/vweb/tests/persistent_connection_test.v
+%%DATADIR%%/vlib/x/vweb/tests/static_handler_test.v
+%%DATADIR%%/vlib/x/vweb/tests/testdata/root.txt
+%%DATADIR%%/vlib/x/vweb/tests/testdata/sub.folder/sub_folder/index.htm
+%%DATADIR%%/vlib/x/vweb/tests/testdata/sub.folder/sub_folder/sub.txt
+%%DATADIR%%/vlib/x/vweb/tests/testdata/sub_folder/index.htm
+%%DATADIR%%/vlib/x/vweb/tests/testdata/sub_folder/sub.txt
+%%DATADIR%%/vlib/x/vweb/tests/testdata/unkown_mime.what
+%%DATADIR%%/vlib/x/vweb/tests/vweb_app_test.v
+%%DATADIR%%/vlib/x/vweb/tests/vweb_should_listen_on_both_ipv4_and_ipv6_by_default_test.v
+%%DATADIR%%/vlib/x/vweb/tests/vweb_test.v
+%%DATADIR%%/vlib/x/vweb/tests/vweb_test_server.v
+%%DATADIR%%/vlib/x/vweb/vweb.v
+%%DATADIR%%/vlib/x/vweb/vweb_livereload.v
%%DATADIR%%/vlib/x/x.v