From 1c4c351b418524d8a77ce5dd2aeea213299911dd Mon Sep 17 00:00:00 2001 From: Alejandro Pulver Date: Sun, 5 Nov 2006 20:51:06 +0000 Subject: The goals of the FreeHDL project are to develop a VHDL simulator that has a graphical waveform viewer and a source level debugger. It also aims at VHDL-93 compliancy. The project is at a very early development stage. WWW: http://www.freehdl.seul.org/ PR: ports/104634 Submitted by: lon_kamikaze at gmx.de --- cad/Makefile | 1 + cad/freehdl/Makefile | 53 ++++++++++++++++++++++++ cad/freehdl/distinfo | 3 ++ cad/freehdl/pkg-descr | 5 +++ cad/freehdl/pkg-plist | 110 ++++++++++++++++++++++++++++++++++++++++++++++++++ 5 files changed, 172 insertions(+) create mode 100644 cad/freehdl/Makefile create mode 100644 cad/freehdl/distinfo create mode 100644 cad/freehdl/pkg-descr create mode 100644 cad/freehdl/pkg-plist (limited to 'cad') diff --git a/cad/Makefile b/cad/Makefile index 89abf315221f..77973dad6014 100644 --- a/cad/Makefile +++ b/cad/Makefile @@ -21,6 +21,7 @@ SUBDIR += electric-ng SUBDIR += fandango SUBDIR += feappv + SUBDIR += freehdl SUBDIR += geda SUBDIR += geda-docs SUBDIR += geda-examples diff --git a/cad/freehdl/Makefile b/cad/freehdl/Makefile new file mode 100644 index 000000000000..49315ae1a392 --- /dev/null +++ b/cad/freehdl/Makefile @@ -0,0 +1,53 @@ +# New ports collection makefile for: freehdl +# Date created: 19 Oct 2006 +# Whom: lon_kamikaze@gmx.de +# +# $FreeBSD$ +# + +PORTNAME= freehdl +PORTVERSION= 0.0.3 +CATEGORIES= cad +MASTER_SITES= http://cran.mit.edu/~enaroska/ + +MAINTAINER= lon_kamikaze@gmx.de +COMMENT= A free VHDL simulator + +USE_GMAKE= yes +USE_LDCONFIG= yes +USE_PERL5= yes +USE_XLIB= yes +HAS_CONFIGURE= yes +CONFIGURE_ARGS= --x-libraries=${X11BASE}/lib \ + --prefix=${PREFIX} + +MAN1= freehdl-config.1 \ + freehdl-gennodes.1 \ + freehdl-libtool.1 \ + freehdl-v2cc.1 \ + gvhdl.1 +MAN5= v2cc.libs.5 + +INFO= fire + +MANCOMPRESSED= no + +PLIST_TMP= ${WRKDIR}/plist_tmp/ + +plist: build + @${ECHO} "===> Rebuilding PLIST." + @${TOUCH} ${PLIST} + @${RM} ${PLIST} + @cd ${INSTALL_WRKSRC} && ${SETENV} ${MAKE_ENV} ${GMAKE} \ + ${MAKE_FLAGS} ${MAKEFILE} ${MAKE_ARGS} \ + prefix=${PLIST_TMP} ${INSTALL_TARGET} + @${RM} -rf ${PLIST_TMP}/man + @${FIND} -d ${PLIST_TMP} -type f \ + | ${SED} "s|${PLIST_TMP}||1" \ + >> ${PLIST} + @${FIND} -d ${PLIST_TMP}/*/* -type d \ + | ${SED} "s|${PLIST_TMP}|@dirrm |1" \ + >> ${PLIST} + @${RM} -rf ${PLIST_TMP} + +.include diff --git a/cad/freehdl/distinfo b/cad/freehdl/distinfo new file mode 100644 index 000000000000..5b9e7a696d72 --- /dev/null +++ b/cad/freehdl/distinfo @@ -0,0 +1,3 @@ +MD5 (freehdl-0.0.3.tar.gz) = 372a4fca0fa802f8040c05d5c7986a0c +SHA256 (freehdl-0.0.3.tar.gz) = 83dcd15c8421cc7ea8938a2c39e20bec66a1638a4bd4cce76624fd0850db86f0 +SIZE (freehdl-0.0.3.tar.gz) = 1385493 diff --git a/cad/freehdl/pkg-descr b/cad/freehdl/pkg-descr new file mode 100644 index 000000000000..77bc369d7214 --- /dev/null +++ b/cad/freehdl/pkg-descr @@ -0,0 +1,5 @@ +The goals of the FreeHDL project are to develop a VHDL simulator that has +a graphical waveform viewer and a source level debugger. It also aims at +VHDL-93 compliancy. The project is at a very early development stage. + +WWW: http://www.freehdl.seul.org/ diff --git a/cad/freehdl/pkg-plist b/cad/freehdl/pkg-plist new file mode 100644 index 000000000000..cced8d0f6042 --- /dev/null +++ b/cad/freehdl/pkg-plist @@ -0,0 +1,110 @@ +bin/freehdl-config +bin/freehdl-gennodes +bin/freehdl-libtool +bin/freehdl-v2cc +bin/gvhdl +include/freehdl/FlexLexer.h +include/freehdl/cdfggen-chunk.h +include/freehdl/cdfggen-chunk.t +include/freehdl/fire-chunk.h +include/freehdl/fire-chunk.t +include/freehdl/fire-types.h +include/freehdl/fire.h +include/freehdl/kernel-Xinfo-data-descriptor.hh +include/freehdl/kernel-Xinfo-kind.hh +include/freehdl/kernel-acl.hh +include/freehdl/kernel-attributes.hh +include/freehdl/kernel-classes.hh +include/freehdl/kernel-db.hh +include/freehdl/kernel-delayed-procedure-base.hh +include/freehdl/kernel-driver-info.hh +include/freehdl/kernel-dump.hh +include/freehdl/kernel-error.hh +include/freehdl/kernel-fhdl-stream.hh +include/freehdl/kernel-flags.hh +include/freehdl/kernel-global-event-queue.hh +include/freehdl/kernel-handle-info.hh +include/freehdl/kernel-handle.hh +include/freehdl/kernel-kernel-class.hh +include/freehdl/kernel-list.hh +include/freehdl/kernel-map-list.hh +include/freehdl/kernel-name-stack.hh +include/freehdl/kernel-pcounter.hh +include/freehdl/kernel-persistent-cdfg-dump.hh +include/freehdl/kernel-persistent-dump.hh +include/freehdl/kernel-process-base.hh +include/freehdl/kernel-reader-info.hh +include/freehdl/kernel-register.hh +include/freehdl/kernel-resolver-descriptor.hh +include/freehdl/kernel-resolver-process.hh +include/freehdl/kernel-sig-info.hh +include/freehdl/kernel-sigacl-list.hh +include/freehdl/kernel-signal-source-list-array.hh +include/freehdl/kernel-source-descriptor.hh +include/freehdl/kernel-stack-trace.hh +include/freehdl/kernel-util.hh +include/freehdl/kernel-wait-info.hh +include/freehdl/kernel-winfo-item.hh +include/freehdl/kernel.h +include/freehdl/std-memory.hh +include/freehdl/std-standard.hh +include/freehdl/std-vhdl-types.hh +include/freehdl/std.h +include/freehdl/tree-supp.h +include/freehdl/vaul-chunk.h +include/freehdl/vaul-chunk.t +include/freehdl/vaul-creator-base.h +include/freehdl/vaul-dfile.h +include/freehdl/vaul-dunit.h +include/freehdl/vaul-dynarray.h +include/freehdl/vaul-errors.h +include/freehdl/vaul-lexer.h +include/freehdl/vaul-list.h +include/freehdl/vaul-mempool.h +include/freehdl/vaul-parser.h +include/freehdl/vaul-pool.h +include/freehdl/vaul-printer.h +include/freehdl/vaul-ref.h +include/freehdl/vaul-types.h +include/freehdl/vaul-util.h +include/freehdl/vaul.h +lib/freehdl/libieee.a +lib/freehdl/libieee.la +lib/freehdl/libieee.so +lib/freehdl/libieee.so.0 +lib/libfreehdl-cdfggen.a +lib/libfreehdl-cdfggen.la +lib/libfreehdl-cdfggen.so +lib/libfreehdl-cdfggen.so.0 +lib/libfreehdl-fire.a +lib/libfreehdl-fire.la +lib/libfreehdl-fire.so +lib/libfreehdl-fire.so.0 +lib/libfreehdl-kernel.a +lib/libfreehdl-kernel.la +lib/libfreehdl-kernel.so +lib/libfreehdl-kernel.so.0 +lib/libfreehdl-std.a +lib/libfreehdl-std.la +lib/libfreehdl-std.so +lib/libfreehdl-std.so.0 +lib/libfreehdl-vaul.a +lib/libfreehdl-vaul.la +lib/libfreehdl-vaul.so +lib/libfreehdl-vaul.so.0 +%%DATADIR%%/lib/ieee/math_real.vhdl +%%DATADIR%%/lib/ieee/numeric_bit.vhdl +%%DATADIR%%/lib/ieee/numeric_std.vhdl +%%DATADIR%%/lib/ieee/std_logic_1164.vhdl +%%DATADIR%%/lib/ieee/std_logic_arith.vhdl +%%DATADIR%%/lib/ieee/std_logic_signed.vhdl +%%DATADIR%%/lib/ieee/std_logic_unsigned.vhdl +%%DATADIR%%/lib/ieee/vital_timing.vhdl +%%DATADIR%%/lib/std/standard.vhdl +%%DATADIR%%/lib/std/textio.vhdl +@dirrm lib/freehdl +@dirrm include/freehdl +@dirrm %%DATADIR%%/lib/std +@dirrm %%DATADIR%%/lib/ieee +@dirrm %%DATADIR%%/lib +@dirrm %%DATADIR%% -- cgit v1.2.3