aboutsummaryrefslogtreecommitdiff
path: root/sysutils/p5-Iterator-File/Makefile
blob: aea786943b5522e8be2dbf9474d276d44889e2d9 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25