aboutsummaryrefslogtreecommitdiff
path: root/test/Modules/Inputs/PR20399/vector
diff options
context:
space:
mode:
Diffstat (limited to 'test/Modules/Inputs/PR20399/vector')
-rw-r--r--test/Modules/Inputs/PR20399/vector17
1 files changed, 17 insertions, 0 deletions
diff --git a/test/Modules/Inputs/PR20399/vector b/test/Modules/Inputs/PR20399/vector
new file mode 100644
index 000000000000..1e1b6705f51b
--- /dev/null
+++ b/test/Modules/Inputs/PR20399/vector
@@ -0,0 +1,17 @@
+namespace std {
+template <typename _Tp, typename _Alloc = int>
+struct vector {
+ static void func() { vector *i, *j; i - j; }
+};
+
+struct bit_iterator { ~bit_iterator() {} };
+
+inline void operator-(int __x, const bit_iterator &__y) {
+}
+
+template <typename _Alloc>
+struct vector<bool, _Alloc> : bit_iterator {
+ typedef bit_iterator iterator;
+};
+
+} // namespace std