aboutsummaryrefslogtreecommitdiff
path: root/cad/Makefile
diff options
context:
space:
mode:
authorYuri Victorovich <yuri@FreeBSD.org>2019-10-23 07:13:15 +0000
committerYuri Victorovich <yuri@FreeBSD.org>2019-10-23 07:13:15 +0000
commit145bb4f02adc549e471135756312076bfed8a7e6 (patch)
tree77c4e2fd7618784394520d04579c4854bb654cc4 /cad/Makefile
parentb8b2ff493c62836e31cc0df41000953afc02b614 (diff)
downloadports-145bb4f02adc549e471135756312076bfed8a7e6.tar.gz
ports-145bb4f02adc549e471135756312076bfed8a7e6.zip
Re-add cad/ghdl: GNU VHDL simulator
Notes
Notes: svn path=/head/; revision=515237
Diffstat (limited to 'cad/Makefile')
-rw-r--r--cad/Makefile1
1 files changed, 1 insertions, 0 deletions
diff --git a/cad/Makefile b/cad/Makefile
index 7ba166715c1a..4b4722feb7c8 100644
--- a/cad/Makefile
+++ b/cad/Makefile
@@ -30,6 +30,7 @@
SUBDIR += gdsreader
SUBDIR += gdt
SUBDIR += geda
+ SUBDIR += ghdl
SUBDIR += gmsh
SUBDIR += gnucap
SUBDIR += gplcver