aboutsummaryrefslogtreecommitdiff
path: root/cad/iverilog
diff options
context:
space:
mode:
authorNiclas Zeising <zeising@FreeBSD.org>2013-12-10 16:08:47 +0000
committerNiclas Zeising <zeising@FreeBSD.org>2013-12-10 16:08:47 +0000
commit636bb0b5f619085ae5bd4969651f8704527f5e88 (patch)
tree6eca9b2a774bb641b988982cf2d14167cc774d21 /cad/iverilog
parentfadf2a52e5e6c859b1f5e8c681ddde66bfe38fe7 (diff)
downloadports-636bb0b5f619085ae5bd4969651f8704527f5e88.tar.gz
ports-636bb0b5f619085ae5bd4969651f8704527f5e88.zip
Update to 0.9.7.
Stagify.
Notes
Notes: svn path=/head/; revision=336066
Diffstat (limited to 'cad/iverilog')
-rw-r--r--cad/iverilog/Makefile5
-rw-r--r--cad/iverilog/distinfo4
-rw-r--r--cad/iverilog/pkg-plist3
3 files changed, 6 insertions, 6 deletions
diff --git a/cad/iverilog/Makefile b/cad/iverilog/Makefile
index 23e7f1d09804..eac65ab43615 100644
--- a/cad/iverilog/Makefile
+++ b/cad/iverilog/Makefile
@@ -2,7 +2,7 @@
# $FreeBSD$
PORTNAME= iverilog
-PORTVERSION= 0.9.6
+PORTVERSION= 0.9.7
CATEGORIES= cad
MASTER_SITES= ftp://icarus.com/pub/eda/verilog/v${PORTVERSION:C,\.[0-9]$,,}/ \
ftp://ftp.geda.seul.org/pub/geda/dist/
@@ -19,7 +19,4 @@ USE_GMAKE= yes
CONFIGURE_ARGS= --disable-suffix
-MAN1= iverilog-vpi.1 iverilog.1 vvp.1
-
-NO_STAGE= yes
.include <bsd.port.mk>
diff --git a/cad/iverilog/distinfo b/cad/iverilog/distinfo
index 8fdfe5ec3a2a..fe07c67f2467 100644
--- a/cad/iverilog/distinfo
+++ b/cad/iverilog/distinfo
@@ -1,2 +1,2 @@
-SHA256 (verilog-0.9.6.tar.gz) = 25304d5d58d6411fcd1ab94992a505215eea5a6bbd9779c2be2d9d19f38cd54a
-SIZE (verilog-0.9.6.tar.gz) = 1219982
+SHA256 (verilog-0.9.7.tar.gz) = 7a5e72e17bfb4c3a59264d8f3cc4e70a7c49c1307173348fdd44e079388e7454
+SIZE (verilog-0.9.7.tar.gz) = 1238088
diff --git a/cad/iverilog/pkg-plist b/cad/iverilog/pkg-plist
index bfa88b259637..7fed8231ca15 100644
--- a/cad/iverilog/pkg-plist
+++ b/cad/iverilog/pkg-plist
@@ -31,6 +31,9 @@ lib/ivl/vvp.conf
lib/ivl/vvp.tgt
lib/libveriuser.a
lib/libvpi.a
+man/man1/iverilog.1.gz
+man/man1/iverilog-vpi.1.gz
+man/man1/vvp.1.gz
@dirrm lib/ivl/include
@dirrm lib/ivl
@dirrm include/iverilog