aboutsummaryrefslogtreecommitdiff
path: root/science/pulseview
diff options
context:
space:
mode:
authorPhilip M. Gollucci <pgollucci@FreeBSD.org>2015-08-18 20:02:40 +0000
committerPhilip M. Gollucci <pgollucci@FreeBSD.org>2015-08-18 20:02:40 +0000
commit628cbade6106e31d4d35cfd0ac4fd8e1ef0a7b21 (patch)
tree97b8ce13647dc164c029a5fb7067871717ff7362 /science/pulseview
parente465b15a7846380b30b48c8bb64e13f98cfc5b89 (diff)
downloadports-628cbade6106e31d4d35cfd0ac4fd8e1ef0a7b21.tar.gz
ports-628cbade6106e31d4d35cfd0ac4fd8e1ef0a7b21.zip
science/pulseview: disable Werror, add LICENSE_FILE
- Disable -Werror (should unbreak build on 11-CURRENT). - Add LICENSE_FILE. - Replace .000000000 +0000 in patch headers with UTC (make makepatch). PR: 202126 Submitted by: s3erios@gmail.com Approved by: uffe@uffe.org
Notes
Notes: svn path=/head/; revision=394651
Diffstat (limited to 'science/pulseview')
-rw-r--r--science/pulseview/Makefile4
-rw-r--r--science/pulseview/files/patch-pv_data_signaldata.cpp2
-rw-r--r--science/pulseview/files/patch-pv_data_signaldata.h2
3 files changed, 5 insertions, 3 deletions
diff --git a/science/pulseview/Makefile b/science/pulseview/Makefile
index 1d3ae03ad3b6..a1f59f215e7b 100644
--- a/science/pulseview/Makefile
+++ b/science/pulseview/Makefile
@@ -11,6 +11,7 @@ MAINTAINER= uffe@uffe.org
COMMENT= GUI client that supports various hardware logic analyzers
LICENSE= GPLv3
+LICENSE_FILE= ${WRKSRC}/COPYING
LIB_DEPENDS= libboost_thread.so:${PORTSDIR}/devel/boost-libs \
libsigrok.so:${PORTSDIR}/devel/libsigrok \
@@ -18,7 +19,8 @@ LIB_DEPENDS= libboost_thread.so:${PORTSDIR}/devel/boost-libs \
USES= cmake gmake pkgconfig
USE_QT4= corelib gui moc_build qmake_build rcc_build uic_build
-CMAKE_ARGS+= -DCMAKE_INSTALL_MANDIR:PATH=${MANPREFIX}/man
+CMAKE_ARGS+= -DCMAKE_INSTALL_MANDIR:PATH=${MANPREFIX}/man \
+ -DDISABLE_WERROR:BOOL=TRUE
PLIST_FILES= bin/pulseview man/man1/pulseview.1.gz
MAKE_JOBS_UNSAFE=yes
diff --git a/science/pulseview/files/patch-pv_data_signaldata.cpp b/science/pulseview/files/patch-pv_data_signaldata.cpp
index 174fa0894a7e..cf9c36bba0cf 100644
--- a/science/pulseview/files/patch-pv_data_signaldata.cpp
+++ b/science/pulseview/files/patch-pv_data_signaldata.cpp
@@ -1,4 +1,4 @@
---- pv/data/signaldata.cpp.orig 2014-05-06 21:43:51.000000000 +0000
+--- pv/data/signaldata.cpp.orig 2014-05-06 21:43:51 UTC
+++ pv/data/signaldata.cpp
@@ -29,6 +29,10 @@ SignalData::SignalData() :
{
diff --git a/science/pulseview/files/patch-pv_data_signaldata.h b/science/pulseview/files/patch-pv_data_signaldata.h
index e08a85ff062e..709ffc2ad775 100644
--- a/science/pulseview/files/patch-pv_data_signaldata.h
+++ b/science/pulseview/files/patch-pv_data_signaldata.h
@@ -1,4 +1,4 @@
---- pv/data/signaldata.h.orig 2014-05-06 21:43:51.000000000 +0000
+--- pv/data/signaldata.h.orig 2014-05-06 21:43:51 UTC
+++ pv/data/signaldata.h
@@ -30,6 +30,7 @@ class SignalData
{