diff options
Diffstat (limited to 'cad')
138 files changed, 1 insertions, 278 deletions
diff --git a/cad/NASTRAN-95/pkg-descr b/cad/NASTRAN-95/pkg-descr index 37c6720dcb1a..99bc01c8452b 100644 --- a/cad/NASTRAN-95/pkg-descr +++ b/cad/NASTRAN-95/pkg-descr @@ -11,5 +11,3 @@ response to concentrated and distributed loads, thermal expansion, and enforced deformations. NOTE: There is no technical support available for this software. - -WWW: https://github.com/nasa/NASTRAN-95 diff --git a/cad/PrusaSlicer/pkg-descr b/cad/PrusaSlicer/pkg-descr index 05d968a1202e..053f6edab413 100644 --- a/cad/PrusaSlicer/pkg-descr +++ b/cad/PrusaSlicer/pkg-descr @@ -3,5 +3,3 @@ instructions for FFF printers or PNG layers for mSLA 3D printers. It's compatible with any modern printer based on the RepRap toolchain, including all those based on the Marlin, Prusa, Sprinter and Repetier firmware. It also works with Mach3, LinuxCNC and Machinekit controllers. - -WWW: https://www.prusa3d.com/prusaslicer/ diff --git a/cad/abc/pkg-descr b/cad/abc/pkg-descr index 11a2afde6113..938fb780d165 100644 --- a/cad/abc/pkg-descr +++ b/cad/abc/pkg-descr @@ -9,5 +9,3 @@ programming environment for building similar applications. Future development will focus on improving the algorithms and making most of the packages stand-alone. This will allow the user to customize ABC for their needs as if it were a tool-box rather than a complete tool. - -WWW: https://people.eecs.berkeley.edu/~alanmi/abc/ diff --git a/cad/admesh/pkg-descr b/cad/admesh/pkg-descr index b7413b23a773..dd80772655bf 100644 --- a/cad/admesh/pkg-descr +++ b/cad/admesh/pkg-descr @@ -19,5 +19,3 @@ Features * Write a VRML file * Write a DXF file * Calculate the volume of a part - -WWW: https://launchpad.net/admesh diff --git a/cad/adms/pkg-descr b/cad/adms/pkg-descr index 1bb13e818a1d..2cdc6961ce12 100644 --- a/cad/adms/pkg-descr +++ b/cad/adms/pkg-descr @@ -1,5 +1,3 @@ ADMS is a code generator that converts electrical compact device models specified in high-level description language into ready-to-compile C code for the API of spice simulators. - -WWW: https://github.com/Qucs/ADMS diff --git a/cad/alliance/pkg-descr b/cad/alliance/pkg-descr index 82c183c460ab..9941be8ef9ea 100644 --- a/cad/alliance/pkg-descr +++ b/cad/alliance/pkg-descr @@ -4,5 +4,3 @@ synthesis tools, and automatic place and route tools. A complete set of portable CMOS libraries is provided. Alliance is the result of a twelve year effort spent at ASIM department of LIP6 laboratory of the Pierre et Marie Curie University (Paris VI, France). - -WWW: https://www-soc.lip6.fr/equipe-cian/logiciels/alliance/ diff --git a/cad/appcsxcad/pkg-descr b/cad/appcsxcad/pkg-descr index a90b92a22530..c7d434b2a965 100644 --- a/cad/appcsxcad/pkg-descr +++ b/cad/appcsxcad/pkg-descr @@ -1,3 +1 @@ AppCSXCAD is a minimal application using the QCSXCAD library. - -WWW: https://github.com/thliebig/AppCSXCAD diff --git a/cad/archimedes/pkg-descr b/cad/archimedes/pkg-descr index 1587376d764a..120da56fba9d 100644 --- a/cad/archimedes/pkg-descr +++ b/cad/archimedes/pkg-descr @@ -4,5 +4,3 @@ Michel Sellier who is, since then, the leader of the project and the main developer. It is a Free software and thus it can be copied, modified and redistributed under GPL. This is the one of the big advantages of using Archimedes. - -WWW: https://www.gnu.org/software/archimedes/ diff --git a/cad/astk-serveur/pkg-descr b/cad/astk-serveur/pkg-descr index 5cd7db8c7792..65f93e069042 100644 --- a/cad/astk-serveur/pkg-descr +++ b/cad/astk-serveur/pkg-descr @@ -4,5 +4,3 @@ Tcl/Tk interface to run Code_Aster (prepare and start calculations using run_aster). See the port french/aster. - -WWW: http://www.code-aster.org/ diff --git a/cad/atlc/pkg-descr b/cad/atlc/pkg-descr index 79e567078a81..3d035133e562 100644 --- a/cad/atlc/pkg-descr +++ b/cad/atlc/pkg-descr @@ -10,5 +10,3 @@ cross section. This cross section is stored in a data file, which happens to be a Windows bitmap file. The bitmap file is read by atlc, following which the program performs the analysis. Look in /usr/local/share/examples/atlc for some examples. - -WWW: http://atlc.sourceforge.net/ diff --git a/cad/basicdsp/pkg-descr b/cad/basicdsp/pkg-descr index 71abebf19679..a240102939db 100644 --- a/cad/basicdsp/pkg-descr +++ b/cad/basicdsp/pkg-descr @@ -3,5 +3,3 @@ Digital Signal Processing algorithms for audio signals. The input can either be taken from the sound card, or be a locally generated sine wave, white noise or impulse signal. The output is fed to the sound card, as well as to a virtual oscilloscope and spectrum analyzer. - -WWW: http://wwwhome.cs.utwente.nl/~ptdeboer/ham/basicdsp/ diff --git a/cad/brlcad/pkg-descr b/cad/brlcad/pkg-descr index 91cc17bbf1e5..d22f9d218b08 100644 --- a/cad/brlcad/pkg-descr +++ b/cad/brlcad/pkg-descr @@ -13,5 +13,3 @@ capabilities, data compression, image handling, and interactive 3-D geometric editing. Included is an implementation of Weiler's n-Manifold Geometry (NMG) data structures for surface-based solid models and photon mapping. - -WWW: https://brlcad.org/ diff --git a/cad/calculix-ccx/pkg-descr b/cad/calculix-ccx/pkg-descr index a75ef54d2373..2b259f17e0a6 100644 --- a/cad/calculix-ccx/pkg-descr +++ b/cad/calculix-ccx/pkg-descr @@ -8,5 +8,3 @@ formats for CalculiX are based on those used by ABAQUS, a proprietary, general purpose finite element code developed and supported by Hibbitt, Karlsson & Sorensen, Inc (HKS) and are used with kind permission from HKS. Results obtained from CalculiX are in no way connected to ABAQUS. - -WWW: http://www.calculix.de/ diff --git a/cad/calculix/pkg-descr b/cad/calculix/pkg-descr index 4f8b8ba1fe1d..b11eb63e481e 100644 --- a/cad/calculix/pkg-descr +++ b/cad/calculix/pkg-descr @@ -12,5 +12,3 @@ Supported solvers * DUNS-CFD (pre, post) * ISAAC-CFD (pre, post) * OpenFOAM (pre, post) - -WWW: http://www.calculix.de/ diff --git a/cad/camotics/pkg-descr b/cad/camotics/pkg-descr index 3a522a9100c8..e0f0ce455b80 100644 --- a/cad/camotics/pkg-descr +++ b/cad/camotics/pkg-descr @@ -2,7 +2,4 @@ CAMotics is an Open-Source software which can simulate 3-axis NC machining. It is a fast, flexible and user friendly simulation software for the DIY and Open-Source community. - - -WWW: http://camotics.org/ -WWW: https://github.com/CauldronDevelopmentLLC/CAMotics +See also: https://github.com/CauldronDevelopmentLLC/CAMotics diff --git a/cad/caneda/pkg-descr b/cad/caneda/pkg-descr index d3d43399ddcc..4f38db564529 100644 --- a/cad/caneda/pkg-descr +++ b/cad/caneda/pkg-descr @@ -6,5 +6,3 @@ simulation and circuit layout or PCB. Caneda aims to support all kinds of circuit simulation types, e.g. DC, AC, S-parameter and harmonic balance analysis. - -WWW: http://caneda.org/ diff --git a/cad/cascade-compiler/pkg-descr b/cad/cascade-compiler/pkg-descr index 741d80a0f7e9..4dfc88c14f5e 100644 --- a/cad/cascade-compiler/pkg-descr +++ b/cad/cascade-compiler/pkg-descr @@ -7,5 +7,3 @@ from the user's perspective it simply gets faster over time. Cascade's ability to move code back and forth between software and hardware also makes it the first platform to provide generic support for the execution of unsynthesizable Verilog from hardware. - -WWW: https://github.com/vmware/cascade diff --git a/cad/cascade/pkg-descr b/cad/cascade/pkg-descr index 8191dbbcf579..54450e61f20f 100644 --- a/cad/cascade/pkg-descr +++ b/cad/cascade/pkg-descr @@ -10,5 +10,3 @@ detailing the performance at each stage. A summary is produced which shows the relative contributions to the total system performance of each block. This allows easy identification of what limits system performance. - -WWW: http://rfcascade.sourceforge.net/ diff --git a/cad/chipvault/pkg-descr b/cad/chipvault/pkg-descr index 2d2406e9cacc..a1a3ecc60fda 100644 --- a/cad/chipvault/pkg-descr +++ b/cad/chipvault/pkg-descr @@ -10,5 +10,3 @@ design efficiency by: - Providing Netlist sorting and hierarchy viewing. - Supporting web-sharing of RTL files (both encrypted and clear). - Fast and Nimble. - -WWW: http://chipvault.sourceforge.net/ diff --git a/cad/csxcad/pkg-descr b/cad/csxcad/pkg-descr index ebeee4c0337c..e75ff35a5e24 100644 --- a/cad/csxcad/pkg-descr +++ b/cad/csxcad/pkg-descr @@ -1,4 +1,2 @@ CSXCAD, Continuous Structure XML, is a C++ library to describe geometrical objects and their physical or non-physical properties. - -WWW: https://github.com/thliebig/CSXCAD diff --git a/cad/cura-engine/pkg-descr b/cad/cura-engine/pkg-descr index b8510cde6f02..6e2f7e603250 100644 --- a/cad/cura-engine/pkg-descr +++ b/cad/cura-engine/pkg-descr @@ -11,5 +11,3 @@ frontend for CuraEngine. The CuraEngine can be used separately or in other applications. Feel free to add it to your application. But to take note of the License. - -WWW: http://wiki.ultimaker.com/Cura diff --git a/cad/cura/pkg-descr b/cad/cura/pkg-descr index d7b3ab0d1c32..d2008b8ebfde 100644 --- a/cad/cura/pkg-descr +++ b/cad/cura/pkg-descr @@ -2,5 +2,3 @@ This is the new, shiny frontend for Cura. Check daid/LegacyCura for the legacy Cura that everyone knows and loves/hates. We re-worked the whole GUI code at Ultimaker, because the old code started to become unmaintainable. - -WWW: https://github.com/Ultimaker/Cura/wiki diff --git a/cad/cvc/pkg-descr b/cad/cvc/pkg-descr index 2efbc4aeb4df..63ddcd0a06f8 100644 --- a/cad/cvc/pkg-descr +++ b/cad/cvc/pkg-descr @@ -12,5 +12,3 @@ Features: * Script execution available * Automatic subcircuit debug environment creation * GUI to record error analyses results - -WWW: https://github.com/d-m-bailey/cvc diff --git a/cad/digital/pkg-descr b/cad/digital/pkg-descr index 66c64112c8ce..d85dd40c9ddd 100644 --- a/cad/digital/pkg-descr +++ b/cad/digital/pkg-descr @@ -20,5 +20,3 @@ Features: simulator ghdl needs to be installed to simulate a VHDL defined component, and the open source Verilog simulator Icarus Verilog is required to simulate a Verilog defined component. - -WWW: https://github.com/hneemann/Digital diff --git a/cad/dinotrace/pkg-descr b/cad/dinotrace/pkg-descr index 32a5c3be1e15..fb762b8459af 100644 --- a/cad/dinotrace/pkg-descr +++ b/cad/dinotrace/pkg-descr @@ -6,5 +6,3 @@ Dinotrace was conceived in the early 1980's by Allen Gallotta at Digital Equipment Corporation, who wrote the code and supported it through version 4.2. When created, it was the first graphical display tool for the simulators being designed at Digital. - -WWW: https://www.veripool.org/wiki/dinotrace diff --git a/cad/ecpprog/pkg-descr b/cad/ecpprog/pkg-descr index 4ba40e4f6fce..161d0d5f54ba 100644 --- a/cad/ecpprog/pkg-descr +++ b/cad/ecpprog/pkg-descr @@ -4,5 +4,3 @@ Features: * Flash programing via JTAG link to ECP5 part * Validate ECP5 IDCODEs * Read/Decode ECP5 status register - -WWW: https://github.com/gregdavill/ecpprog diff --git a/cad/electric-ng/pkg-descr b/cad/electric-ng/pkg-descr index 2b2b3aa5fdfc..a484a9c0361a 100644 --- a/cad/electric-ng/pkg-descr +++ b/cad/electric-ng/pkg-descr @@ -6,5 +6,3 @@ many forms of circuit design, including: For real functionality, one should consider installing support simulation software such as cad/spice. - -WWW: http://www.staticfreesoft.com/ diff --git a/cad/electric/pkg-descr b/cad/electric/pkg-descr index 00bc3ebce30a..6682236c22a7 100644 --- a/cad/electric/pkg-descr +++ b/cad/electric/pkg-descr @@ -18,5 +18,3 @@ Electric handles these file formats: For real functionality, one should consider installing support simulation software such as cad/spice. - -WWW: https://www.gnu.org/software/electric/electric.html diff --git a/cad/fasm/pkg-descr b/cad/fasm/pkg-descr index 6fa0263bd428..cc721180207c 100644 --- a/cad/fasm/pkg-descr +++ b/cad/fasm/pkg-descr @@ -3,5 +3,3 @@ provide a plain text file format for configuring the internals of an FPGA. It is designed to allow FPGA place and route to not care about the actual bitstream format used on an FPGA. - -WWW: https://github.com/SymbiFlow/fasm diff --git a/cad/fdm_materials/pkg-descr b/cad/fdm_materials/pkg-descr index 062e79648e4b..bd58177b0f24 100644 --- a/cad/fdm_materials/pkg-descr +++ b/cad/fdm_materials/pkg-descr @@ -1,3 +1 @@ FDM material database - -WWW: https://github.com/Ultimaker/fdm_materials diff --git a/cad/feappv/pkg-descr b/cad/feappv/pkg-descr index 73f0319f6724..240e7997e8a1 100644 --- a/cad/feappv/pkg-descr +++ b/cad/feappv/pkg-descr @@ -9,5 +9,3 @@ FEAPpv is designed to be compatible for compilation in Unix/Linux and Windows PC environments. No support on use of the program will be provided. - -WWW: http://projects.ce.berkeley.edu/feap/feappv/ diff --git a/cad/fidocadj/pkg-descr b/cad/fidocadj/pkg-descr index 625c5db94381..bffa3727d19f 100644 --- a/cad/fidocadj/pkg-descr +++ b/cad/fidocadj/pkg-descr @@ -5,5 +5,3 @@ effective small EDA tool for hobbyists. FidoCadJ stores its drawings in a compact text format, practical for the copy and paste in newsgroups and forums: this has determined its success on the Usenet and in numerous communities. - -WWW: http://darwinne.github.io/FidoCadJ/index.html diff --git a/cad/freecad/pkg-descr b/cad/freecad/pkg-descr index a2551fba5c16..2e6f36f1a3c4 100644 --- a/cad/freecad/pkg-descr +++ b/cad/freecad/pkg-descr @@ -12,5 +12,3 @@ without modifying the core system. FreeCAD is under heavy development and might not be ready for production use. - -WWW: https://www.freecadweb.org/ diff --git a/cad/freehdl/pkg-descr b/cad/freehdl/pkg-descr index 77bc369d7214..12a4cc5a7155 100644 --- a/cad/freehdl/pkg-descr +++ b/cad/freehdl/pkg-descr @@ -1,5 +1,3 @@ The goals of the FreeHDL project are to develop a VHDL simulator that has a graphical waveform viewer and a source level debugger. It also aims at VHDL-93 compliancy. The project is at a very early development stage. - -WWW: http://www.freehdl.seul.org/ diff --git a/cad/fritzing/pkg-descr b/cad/fritzing/pkg-descr index 675814532e7e..3f331515dbe6 100644 --- a/cad/fritzing/pkg-descr +++ b/cad/fritzing/pkg-descr @@ -4,5 +4,3 @@ uses the metaphor of the breadboard, so that it is easy to transfer your hardware sketch to the software. From there it is possible to create PCB layouts for turning it into a robust PCB yourself or by help of a manufacturer. - -WWW: http://fritzing.org/ diff --git a/cad/gds3d/pkg-descr b/cad/gds3d/pkg-descr index 05fbe7e72efb..288742f873ed 100644 --- a/cad/gds3d/pkg-descr +++ b/cad/gds3d/pkg-descr @@ -3,5 +3,3 @@ in 3D. The program accepts standard GDSII files as input data. Along with the layout file, it requires a so called process definition file which contains the 3D parameters of the process being used. These files combined allow the program to create a 3D representation of an ASIC design. - -WWW: https://github.com/trilomix/GDS3D diff --git a/cad/gdt/pkg-descr b/cad/gdt/pkg-descr index 8b8d11b49790..e31351b3a690 100644 --- a/cad/gdt/pkg-descr +++ b/cad/gdt/pkg-descr @@ -1,5 +1,3 @@ This is GDT (graphics data text) format translator written in C/C++ that converts a binary gdsii file to a text format that is compact and easy to parse. - -WWW: https://sourceforge.net/projects/gds2/ diff --git a/cad/geda/pkg-descr b/cad/geda/pkg-descr index 4209c4ea0a70..00f616c16a51 100644 --- a/cad/geda/pkg-descr +++ b/cad/geda/pkg-descr @@ -10,5 +10,3 @@ printed circuit board (PCB) layout. The gEDA/gaf suite provides schematic capture, netlisting, bill of materials generation, and many other features. - -WWW: http://www.gpleda.org/ diff --git a/cad/gerbv/pkg-descr b/cad/gerbv/pkg-descr index 9cc34b1cfb8f..bb602b03fed8 100644 --- a/cad/gerbv/pkg-descr +++ b/cad/gerbv/pkg-descr @@ -15,5 +15,3 @@ different holes are shown as dots in the (scaled) correct size. The different layers of the PCB are separated into different files. gerbv can load all files at the same time and display them "on top of each other". You can independently turn them on and off. - -WWW: http://gerbv.geda-project.org/ diff --git a/cad/gmsh/pkg-descr b/cad/gmsh/pkg-descr index a98ebee9f0a2..808126aa5753 100644 --- a/cad/gmsh/pkg-descr +++ b/cad/gmsh/pkg-descr @@ -5,5 +5,3 @@ is built around four modules: geometry, mesh, solver and post-processing. The specification of any input to these modules is done either interactively using the graphical user interface or in ASCII text files using Gmsh's own scripting language. - -WWW: http://www.geuz.org/gmsh/ diff --git a/cad/gnucap/pkg-descr b/cad/gnucap/pkg-descr index 223b3fa10608..eeea38541f80 100644 --- a/cad/gnucap/pkg-descr +++ b/cad/gnucap/pkg-descr @@ -18,5 +18,3 @@ with the circuit and want a simulator that is interactive, you want to study the source code and want something easier to follow than Spice, or you are a researcher working on modeling and want automated model generation tools to make your job easier, try GnuCap. - -WWW: http://www.gnucap.org/ diff --git a/cad/gplcver/pkg-descr b/cad/gplcver/pkg-descr index ef5263e71e4b..1d1a66855527 100644 --- a/cad/gplcver/pkg-descr +++ b/cad/gplcver/pkg-descr @@ -14,5 +14,3 @@ Verilog is officially defined in the IEEE P1364 Language Reference Manual (LRM) that can be purchased from IEEE. There are many good books for learning that teach the Verilog HDL and/or that teach digital circuit design using Verilog. - -WWW: https://sourceforge.net/projects/gplcver/ diff --git a/cad/graywolf/pkg-descr b/cad/graywolf/pkg-descr index 4abd8648cb40..b8e3aca061f8 100644 --- a/cad/graywolf/pkg-descr +++ b/cad/graywolf/pkg-descr @@ -9,5 +9,3 @@ has been forked off from version 6.3.5 of TimberWolf. The main improvement in graywolf is that the build process is more streamlined and that it behaves as a normal linux tool - you can call it from anywhere and no environment variables must be set first. - -WWW: https://github.com/rubund/graywolf diff --git a/cad/gspiceui/pkg-descr b/cad/gspiceui/pkg-descr index 75e935c6b2a0..7a90c96295d2 100644 --- a/cad/gspiceui/pkg-descr +++ b/cad/gspiceui/pkg-descr @@ -2,5 +2,3 @@ gSpiceUI is intended to provide a GUI for freely available electronic circuit simulation engines ie. NG-Spice and GNU-Cap. The utility gnetlist is used to convert schematic files to netlist files, Gwave or Gaw to display simulation results and gschem is the preferred schematic capture tool. - -WWW: http://users.tpg.com.au/micksw012/gspiceui.html diff --git a/cad/gtkwave/pkg-descr b/cad/gtkwave/pkg-descr index 1f4ce8ac9e9e..27c5d37ee308 100644 --- a/cad/gtkwave/pkg-descr +++ b/cad/gtkwave/pkg-descr @@ -1,5 +1,3 @@ GTKWave is a fully featured GTK+ based wave viewer for Unix and Win32 which reads LXT, LXT2, VZT, and GHW files as well as standard Verilog VCD/EVCD files and allows their viewing. - -WWW: http://gtkwave.sourceforge.net/ diff --git a/cad/horizon-eda/pkg-descr b/cad/horizon-eda/pkg-descr index e60910d4eaba..a19eebbdb49f 100644 --- a/cad/horizon-eda/pkg-descr +++ b/cad/horizon-eda/pkg-descr @@ -1,5 +1,3 @@ Horizon EDA is an Electronic Design Automation package supporting an integrated end-to-end workflow for printed circuit board design including parts management and schematic entry. - -WWW: https://horizon-eda.org/ diff --git a/cad/ifcopenshell/pkg-descr b/cad/ifcopenshell/pkg-descr index 8b44eb8a578e..d44ad3119277 100644 --- a/cad/ifcopenshell/pkg-descr +++ b/cad/ifcopenshell/pkg-descr @@ -1,5 +1,3 @@ IfcOpenShell is an open source (LGPL) software library for working with the Industry Foundation Classes (IFC) file format. Currently supported IFC releases are IFC2x3 TC1 and [IFC4 Add2 TC1]. - -WWW: http://ifcopenshell.org diff --git a/cad/impact/pkg-descr b/cad/impact/pkg-descr index bd60646c3c64..9bee02df7f6a 100644 --- a/cad/impact/pkg-descr +++ b/cad/impact/pkg-descr @@ -2,5 +2,3 @@ Impact is an explicit Finite Element Program which simulates dynamic impact events. It has a range of elements, contact handling and different material laws. Models can be created and viewed with external programs or the included postprocessor. - -WWW: http://www.impact-fem.org/ diff --git a/cad/irsim/pkg-descr b/cad/irsim/pkg-descr index 90c3e35d78fd..7c8c0ecc6a6b 100644 --- a/cad/irsim/pkg-descr +++ b/cad/irsim/pkg-descr @@ -4,5 +4,3 @@ To run irsim, users should set CAD_HOME to the base installation directory where magic was installed, e.g. /usr/local. Alternatively, the system administrator can create a dummy user named 'cad' with its home directory set to the installation directory. - -WWW: http://opencircuitdesign.com/irsim/ diff --git a/cad/iverilog/pkg-descr b/cad/iverilog/pkg-descr index 2b56cfb04552..178cba0dad68 100644 --- a/cad/iverilog/pkg-descr +++ b/cad/iverilog/pkg-descr @@ -11,5 +11,3 @@ descriptions written to the IEEE standard IEEE Std 1364-2000. The standard proper is due to be release towards the middle of the year 2000. This is a fairly large and complex standard, so it will take some time for it to get there, but that's the goal. - -WWW: http://iverilog.icarus.com/ diff --git a/cad/jspice3/pkg-descr b/cad/jspice3/pkg-descr index 5c276dc01aae..3115ad0323af 100644 --- a/cad/jspice3/pkg-descr +++ b/cad/jspice3/pkg-descr @@ -12,5 +12,3 @@ holds its own in functionality. A significantly enhanced output plotting capability is provided, and Jspice3 has enhanced script interpretation capability. - -WWW: http://www.wrcad.com/jspice3.html diff --git a/cad/k40-whisperer/pkg-descr b/cad/k40-whisperer/pkg-descr index aaecf78eb812..041b6326c2a7 100644 --- a/cad/k40-whisperer/pkg-descr +++ b/cad/k40-whisperer/pkg-descr @@ -17,5 +17,3 @@ Features: - Converts gray scale images to halftone images to simulating varying levels of gray (i.e. engraving pictures). The Halftone option needs to be selected under "Raster"-"Settings" to enable halftoning. - -WWW: http://www.scorchworks.com/K40whisperer/k40whisperer.html diff --git a/cad/kicad-devel/pkg-descr b/cad/kicad-devel/pkg-descr index 58be9025901e..721660eac8a0 100644 --- a/cad/kicad-devel/pkg-descr +++ b/cad/kicad-devel/pkg-descr @@ -12,5 +12,3 @@ Kicad is a set of four softwares and a project manager: * Gerbview: GERBER viewer (photoplotter documents). * Cvpcb: footprint selector for components used in the circuit design. * Kicad: project manager. - -WWW: http://kicad-pcb.org/ diff --git a/cad/kicad-doc/pkg-descr b/cad/kicad-doc/pkg-descr index ec7a94f64b3b..32cb3db64905 100644 --- a/cad/kicad-doc/pkg-descr +++ b/cad/kicad-doc/pkg-descr @@ -1,3 +1 @@ This port installs the KiCad help and documentation files. - -WWW: https://gitlab.com/kicad/services/kicad-doc diff --git a/cad/kicad-library-footprints-devel/pkg-descr b/cad/kicad-library-footprints-devel/pkg-descr index f3f3845ffcc8..31a91072f8a4 100644 --- a/cad/kicad-library-footprints-devel/pkg-descr +++ b/cad/kicad-library-footprints-devel/pkg-descr @@ -1,3 +1 @@ This port installs the official KiCad Footprint Libraries for the future Kicad version 5. - -WWW: https://github.com/KiCad/kicad-footprints diff --git a/cad/kicad-library-footprints/pkg-descr b/cad/kicad-library-footprints/pkg-descr index 1560b486eba8..e012c1f93494 100644 --- a/cad/kicad-library-footprints/pkg-descr +++ b/cad/kicad-library-footprints/pkg-descr @@ -1,3 +1 @@ This port installs the official KiCad Footprint Libraries. - -WWW: https://gitlab.com/kicad/libraries/kicad-footprints diff --git a/cad/kicad-library-packages3d-devel/pkg-descr b/cad/kicad-library-packages3d-devel/pkg-descr index fbb4b4475da5..98474f8650af 100644 --- a/cad/kicad-library-packages3d-devel/pkg-descr +++ b/cad/kicad-library-packages3d-devel/pkg-descr @@ -1,3 +1 @@ This port installs the official KiCad 3D model libraries for rendering and MCAD integration. - -WWW: https://github.com/KiCad/kicad-packages3d diff --git a/cad/kicad-library-packages3d/pkg-descr b/cad/kicad-library-packages3d/pkg-descr index d1331d453b3d..d4792266ff3e 100644 --- a/cad/kicad-library-packages3d/pkg-descr +++ b/cad/kicad-library-packages3d/pkg-descr @@ -1,4 +1,2 @@ This port installs the official KiCad 3D model libraries for rendering and MCAD integration. - -WWW: https://gitlab.com/kicad/libraries/kicad-packages3D diff --git a/cad/kicad-library-symbols-devel/pkg-descr b/cad/kicad-library-symbols-devel/pkg-descr index d7f403ad969e..2d85784020de 100644 --- a/cad/kicad-library-symbols-devel/pkg-descr +++ b/cad/kicad-library-symbols-devel/pkg-descr @@ -1,3 +1 @@ This port installs the official KiCad schematic symbol libraries for the future Kicad 5 release. - -WWW: https://github.com/KiCad/kicad-symbols diff --git a/cad/kicad-library-symbols/pkg-descr b/cad/kicad-library-symbols/pkg-descr index 5168ab86fad7..229f88bce2e1 100644 --- a/cad/kicad-library-symbols/pkg-descr +++ b/cad/kicad-library-symbols/pkg-descr @@ -1,3 +1 @@ This port installs the official KiCad schematic symbol libraries. - -WWW: https://gitlab.com/kicad/libraries/kicad-symbols diff --git a/cad/kicad-library-templates-devel/pkg-descr b/cad/kicad-library-templates-devel/pkg-descr index da2c020d57bc..c6a3ee74a261 100644 --- a/cad/kicad-library-templates-devel/pkg-descr +++ b/cad/kicad-library-templates-devel/pkg-descr @@ -1,3 +1 @@ This port installs the KiCad project templates - -WWW: https://github.com/KiCad/kicad-templates diff --git a/cad/kicad-library-templates/pkg-descr b/cad/kicad-library-templates/pkg-descr index 8a3c6730a9ee..5f96ad49fb4f 100644 --- a/cad/kicad-library-templates/pkg-descr +++ b/cad/kicad-library-templates/pkg-descr @@ -1,3 +1 @@ This port installs the KiCad project templates. - -WWW: https://gitlab.com/kicad/libraries/kicad-templates diff --git a/cad/kicad/pkg-descr b/cad/kicad/pkg-descr index 93a03a5a0c2f..721660eac8a0 100644 --- a/cad/kicad/pkg-descr +++ b/cad/kicad/pkg-descr @@ -12,5 +12,3 @@ Kicad is a set of four softwares and a project manager: * Gerbview: GERBER viewer (photoplotter documents). * Cvpcb: footprint selector for components used in the circuit design. * Kicad: project manager. - -WWW: https://kicad.org/ diff --git a/cad/klayout/pkg-descr b/cad/klayout/pkg-descr index f397bbdd2224..27c95162c998 100644 --- a/cad/klayout/pkg-descr +++ b/cad/klayout/pkg-descr @@ -1,3 +1 @@ KLayout is a viewer for GDS2 files. - -WWW: http://www.klayout.de/index.html diff --git a/cad/ktechlab/pkg-descr b/cad/ktechlab/pkg-descr index 9f1ea371adba..514e052c889d 100644 --- a/cad/ktechlab/pkg-descr +++ b/cad/ktechlab/pkg-descr @@ -4,5 +4,3 @@ electronics is as simple as dragging components onto the work area and creating connectors that autoroute themselves between their pins. FlowCode allows users new to PICs to instantly create their own programs, while the electronic simulation allows stepping through a PIC's assembly program inside a circuit. - -WWW: https://userbase.kde.org/KTechlab diff --git a/cad/ldraw/pkg-descr b/cad/ldraw/pkg-descr index 2d6163d3581e..5ceef5e87a41 100644 --- a/cad/ldraw/pkg-descr +++ b/cad/ldraw/pkg-descr @@ -4,5 +4,3 @@ physically built, create building instructions just like LEGO, render 3D photo realistic images of your virtual models and even make animations. The possibilities are endless. Unlike real LEGO bricks where you are limited by the number of parts and colors, in LDraw nothing is impossible. - -WWW: https://www.ldraw.org/ diff --git a/cad/ldview/pkg-descr b/cad/ldview/pkg-descr index ee854dddf204..992f0eb59605 100644 --- a/cad/ldview/pkg-descr +++ b/cad/ldview/pkg-descr @@ -4,5 +4,3 @@ www.ldraw.org, the centralized LDraw information site. The program can read LDraw LDR/DAT files as well as MPD files. It then allows you to rotate the model around to any angle with the mouse. - -WWW: https://tcobbs.github.io/ldview/ diff --git a/cad/leocad/pkg-descr b/cad/leocad/pkg-descr index d983c059f6f2..84e370b4124b 100644 --- a/cad/leocad/pkg-descr +++ b/cad/leocad/pkg-descr @@ -10,5 +10,3 @@ from the Internet. It currently features several thousand different pieces created by the LDraw community. - -WWW: https://www.leocad.org/ diff --git a/cad/lepton-eda/pkg-descr b/cad/lepton-eda/pkg-descr index 3606203b267e..1ab9f7841aac 100644 --- a/cad/lepton-eda/pkg-descr +++ b/cad/lepton-eda/pkg-descr @@ -6,5 +6,3 @@ of its active developers at that time. It's backward compatible with its predecessor and supports the same file format for symbols and schematics. It's in active development and well supported. - -WWW: https://github.com/lepton-eda/lepton-eda diff --git a/cad/libopencad/pkg-descr b/cad/libopencad/pkg-descr index d1db4a360da9..5d4abff5ecd6 100644 --- a/cad/libopencad/pkg-descr +++ b/cad/libopencad/pkg-descr @@ -13,5 +13,3 @@ Now it has an implementation for DWG2000 (R15), but only for read. Library comes with cadinfo utility, which prints out everything library can get from file - header variables, CAD custom classes, presented layers and geometries with their attributes. - -WWW: https://trac.osgeo.org/gdal/wiki/DWG_driver diff --git a/cad/librecad/pkg-descr b/cad/librecad/pkg-descr index dd612fbc8c07..51f20f7aea81 100644 --- a/cad/librecad/pkg-descr +++ b/cad/librecad/pkg-descr @@ -1,4 +1,2 @@ LibreCAD is a 2D CAD drawing application based on the community edition of QCad (www.qcad.org). - -WWW: https://librecad.org/ diff --git a/cad/libredwg/pkg-descr b/cad/libredwg/pkg-descr index ceb6b4f2ffa6..fd7a86f3c4bf 100644 --- a/cad/libredwg/pkg-descr +++ b/cad/libredwg/pkg-descr @@ -11,5 +11,3 @@ XML, etc.) and a SaveAs functionality, to convert between the various DWG versions. It can read r13-r2018 DWG, write r13-r2000 DWG, write r11-r2018 DXF ASCII and binary. Much more object types than any other free DWG library. - -WWW: https://www.gnu.org/software/libredwg/ diff --git a/cad/librepcb/pkg-descr b/cad/librepcb/pkg-descr index ef9ee246b5cf..07212cd73fab 100644 --- a/cad/librepcb/pkg-descr +++ b/cad/librepcb/pkg-descr @@ -6,5 +6,3 @@ Key Features: * Intuitive, modern and easy-to-use graphical user interface * Very powerful library design with some innovative concepts * Human-readable file formats - -WWW: https://librepcb.org/ diff --git a/cad/librnd/pkg-descr b/cad/librnd/pkg-descr index bdb14e78400e..e884b0a29794 100644 --- a/cad/librnd/pkg-descr +++ b/cad/librnd/pkg-descr @@ -4,5 +4,3 @@ librnd is a free/open source, flexible, modular two-dimensional CAD engine - flexible, dynamic menu system - flexible, dynamic configuration system - support for user scripting in a dozen languages - -WWW: http://www.repo.hu/projects/librnd/ diff --git a/cad/linux-eagle5/pkg-descr b/cad/linux-eagle5/pkg-descr index c49420289473..3ad384a3a381 100644 --- a/cad/linux-eagle5/pkg-descr +++ b/cad/linux-eagle5/pkg-descr @@ -23,5 +23,3 @@ o Use is limited to non-profit applications or evaluation purposes. Apart from these limitations the EAGLE Light Edition can do anything the Professional Edition can do. You can even load, view and print drawings that exceed these limits! - -WWW: http://www.cadsoftusa.com diff --git a/cad/logisim/pkg-descr b/cad/logisim/pkg-descr index 32bee7c94d45..3c646a5ceabf 100644 --- a/cad/logisim/pkg-descr +++ b/cad/logisim/pkg-descr @@ -10,5 +10,3 @@ Logisim is used by students at colleges and universities around the world in many types of classes, ranging from a brief unit on logic in general-education computer science surveys, to computer organization courses, to full-semester courses on computer architecture. - -WWW: http://ozark.hendrix.edu/~burch/logisim/ diff --git a/cad/magic/pkg-descr b/cad/magic/pkg-descr index 26e20f2d1fe5..c3b5ffa84fc8 100644 --- a/cad/magic/pkg-descr +++ b/cad/magic/pkg-descr @@ -4,5 +4,3 @@ To run magic, users should set CAD_HOME to the base installation directory where magic was installed, e.g. /usr/local. Alternatively, the system administrator can create a dummy user named 'cad' with its home directory set to the installation directory. - -WWW: http://opencircuitdesign.com/magic/ diff --git a/cad/meshdev/pkg-descr b/cad/meshdev/pkg-descr index 0739f49f9aa2..4becc52e6851 100644 --- a/cad/meshdev/pkg-descr +++ b/cad/meshdev/pkg-descr @@ -3,5 +3,3 @@ computes the geometrical deviation between the two meshes. It return numerical values and can optionaly generate visual results (with a pseudo-colored mesh corresponding to the measured deviation) in OpenInventor format. - -WWW: http://meshdev.sourceforge.net/ diff --git a/cad/meshlab/pkg-descr b/cad/meshlab/pkg-descr index 727a7f970584..0f671561df8b 100644 --- a/cad/meshlab/pkg-descr +++ b/cad/meshlab/pkg-descr @@ -3,5 +3,3 @@ and editing of unstructured 3D triangular meshes. The system is aimed to help the processing of the typical not-so-small unstructured models arising in 3D scanning, providing a set of tools for editing, cleaning, healing, inspecting, rendering and converting this kind of meshes. - -WWW: https://www.meshlab.net/ diff --git a/cad/netgen-lvs/pkg-descr b/cad/netgen-lvs/pkg-descr index 691f20560467..c63b601a7325 100644 --- a/cad/netgen-lvs/pkg-descr +++ b/cad/netgen-lvs/pkg-descr @@ -8,5 +8,3 @@ ensure the correct layout geometry. The greatest need for LVS is in large analog or mixed-signal circuits that cannot be simulated in reasonable time. Even for small circuits, LVS can be done much faster than simulation, and provides feedback that makes it easier to find an error than does a simulation. - -WWW: http://opencircuitdesign.com/netgen/ diff --git a/cad/netgen/pkg-descr b/cad/netgen/pkg-descr index 0584ffafabd8..9eaefe0ed99d 100644 --- a/cad/netgen/pkg-descr +++ b/cad/netgen/pkg-descr @@ -4,5 +4,3 @@ It accepts input from constructive solid geometry (CSG) or boundary representation (BRep) from STL file format. The connection to a geometry kernel allows the handling of IGES and STEP files. NETGEN contains modules for mesh optimization and hierarchical mesh refinement. - -WWW: https://ngsolve.org/ diff --git a/cad/ngspice_rework/pkg-descr b/cad/ngspice_rework/pkg-descr index 54c832c0ea9c..574851029c83 100644 --- a/cad/ngspice_rework/pkg-descr +++ b/cad/ngspice_rework/pkg-descr @@ -3,5 +3,3 @@ on three open source software packages: Spice3f5, Cider1b1 and Xspice. It is the open source successor of these venerable packages. Many, many modifications, bug fixes and improvements have been added to the code, yielding a stable and reliable simulator. - -WWW: https://ngspice.sourceforge.io/ diff --git a/cad/nvc/pkg-descr b/cad/nvc/pkg-descr index e3a94b282b5d..a2b824f8b7f3 100644 --- a/cad/nvc/pkg-descr +++ b/cad/nvc/pkg-descr @@ -1,5 +1,3 @@ NVC is a GPLv3 VHDL compiler and simulator aiming for IEEE 1076-2002 compliance. See these blog posts for background information. NVC has been successfully used to simulate several real-world designs. - -WWW: https://github.com/nickg/nvc diff --git a/cad/opencascade/pkg-descr b/cad/opencascade/pkg-descr index cf4490349f1d..65aa4b5945dc 100644 --- a/cad/opencascade/pkg-descr +++ b/cad/opencascade/pkg-descr @@ -8,5 +8,3 @@ applications. BUGS: the interesting parts of this port are the libraries, but some components like Wok are not [yet] fully functional. - -WWW: http://www.opencascade.org/ diff --git a/cad/opencascade740/pkg-descr b/cad/opencascade740/pkg-descr index cf4490349f1d..65aa4b5945dc 100644 --- a/cad/opencascade740/pkg-descr +++ b/cad/opencascade740/pkg-descr @@ -8,5 +8,3 @@ applications. BUGS: the interesting parts of this port are the libraries, but some components like Wok are not [yet] fully functional. - -WWW: http://www.opencascade.org/ diff --git a/cad/openctm/pkg-descr b/cad/openctm/pkg-descr index 97b65ad87111..cc278683f9c7 100644 --- a/cad/openctm/pkg-descr +++ b/cad/openctm/pkg-descr @@ -2,5 +2,3 @@ OpenCTM is a file format, a software library and a tool set for compression of 3D triangle meshes. The geometry is compressed to a fraction of comparable file formats (3DS, STL, COLLADA...), and the format is accessible through a simple, portable API - -WWW: https://sourceforge.net/projects/openctm/ diff --git a/cad/openfpgaloader/pkg-descr b/cad/openfpgaloader/pkg-descr index 1bd5477e1d99..6a32f2a017f3 100644 --- a/cad/openfpgaloader/pkg-descr +++ b/cad/openfpgaloader/pkg-descr @@ -1,5 +1,3 @@ Universal utility for programming FPGA. It supports many FPGA development kits. - -WWW: https://github.com/trabucayre/openFPGALoader diff --git a/cad/openroad/pkg-descr b/cad/openroad/pkg-descr index ecb25fe0a622..643c4f7ca219 100644 --- a/cad/openroad/pkg-descr +++ b/cad/openroad/pkg-descr @@ -1,4 +1,2 @@ OpenROAD is a chip physical design tool. It uses the OpenDB database as a design database and representation. OpenSTA is used for static timing analysis. - -WWW: https://theopenroadproject.org/ diff --git a/cad/openscad-devel/pkg-descr b/cad/openscad-devel/pkg-descr index c1c1110ddafa..f509414f6c5f 100644 --- a/cad/openscad-devel/pkg-descr +++ b/cad/openscad-devel/pkg-descr @@ -11,5 +11,3 @@ and renders the 3D model from this script file. This gives designers full control over the modelling process and enables them to easily change any step in the modelling process or make designes that are defined by configurable parameters. - -WWW: http://www.openscad.org/ diff --git a/cad/openscad/pkg-descr b/cad/openscad/pkg-descr index c57ff252f2af..f509414f6c5f 100644 --- a/cad/openscad/pkg-descr +++ b/cad/openscad/pkg-descr @@ -11,5 +11,3 @@ and renders the 3D model from this script file. This gives designers full control over the modelling process and enables them to easily change any step in the modelling process or make designes that are defined by configurable parameters. - -WWW: https://openscad.org/ diff --git a/cad/opentimer/pkg-descr b/cad/opentimer/pkg-descr index 95b3946d0ff6..c18c49a774d7 100644 --- a/cad/opentimer/pkg-descr +++ b/cad/opentimer/pkg-descr @@ -7,5 +7,3 @@ Key features are: * Graph- and path-based timing analysis * Parallel incremental timing for fast timing closure * Award-winning tools and golden timers in CAD Contests - -WWW: https://github.com/OpenTimer/OpenTimer diff --git a/cad/openvsp/pkg-descr b/cad/openvsp/pkg-descr index 2fb3180d2505..b652f8a1751a 100644 --- a/cad/openvsp/pkg-descr +++ b/cad/openvsp/pkg-descr @@ -3,5 +3,3 @@ NASA Open Source Parametric Geometry OpenVSP allows the user to create a 3D model of an aircraft defined by common engineering parameters. This model can be processed into formats suitable for engineering analysis. - -WWW: http://www.openvsp.org/ diff --git a/cad/oregano/pkg-descr b/cad/oregano/pkg-descr index 7c7ff44ca193..d111aa333625 100644 --- a/cad/oregano/pkg-descr +++ b/cad/oregano/pkg-descr @@ -1,5 +1,3 @@ oregano is an application for schematic capture and simulation of electronic circuits. The actual simulation is performed by Berkeley Spice, GNUcap or the new generation ngspice. - -WWW: https://ahoi.io/ diff --git a/cad/p5-GDS2/pkg-descr b/cad/p5-GDS2/pkg-descr index 23933a1a9886..15cefba21ca1 100644 --- a/cad/p5-GDS2/pkg-descr +++ b/cad/p5-GDS2/pkg-descr @@ -1,4 +1,2 @@ This is GDS2, a module for quickly creating programs to read, write, and manipulate GDS2 (GDSII) stream files. - -WWW: https://metacpan.org/release/GDS2 diff --git a/cad/p5-Verilog-Perl/pkg-descr b/cad/p5-Verilog-Perl/pkg-descr index 69719b466c56..71fc00f6372e 100644 --- a/cad/p5-Verilog-Perl/pkg-descr +++ b/cad/p5-Verilog-Perl/pkg-descr @@ -15,5 +15,3 @@ language. It includes: First, you run vrename to create a list of signals in the design. You then edit this list, changing as many symbols as you wish. Vrename is then run a second time to apply the changes. - -WWW: https://www.veripool.org/wiki/verilog-perl diff --git a/cad/padring/pkg-descr b/cad/padring/pkg-descr index b122ef8af1e3..eac7075d84ac 100644 --- a/cad/padring/pkg-descr +++ b/cad/padring/pkg-descr @@ -1,5 +1,3 @@ This tool makes padrings for ASICs using a LEF file and a placement/configuration file. The padrings can be output in GDS2, DEF and/or SVG format. Check out the example in the example directory. - -WWW: https://github.com/donn/padring diff --git a/cad/pcb-rnd/pkg-descr b/cad/pcb-rnd/pkg-descr index c05b06a1f1a5..72ecacbe4715 100644 --- a/cad/pcb-rnd/pkg-descr +++ b/cad/pcb-rnd/pkg-descr @@ -4,5 +4,3 @@ pcb-rnd is a free/open source, flexible, modular Printed Circuit Board editor - is feature-rich and compatible - has a long history, fast paced development, and big plans. - is part of the coralEDA ecosystem. - -WWW: http://www.repo.hu/projects/pcb-rnd/ diff --git a/cad/pcb/pkg-descr b/cad/pcb/pkg-descr index 0c58fad4a712..88467f9c15a7 100644 --- a/cad/pcb/pkg-descr +++ b/cad/pcb/pkg-descr @@ -4,5 +4,3 @@ can provide industry standard RS-274-X (Gerber), NC drill, and centroid data (X-Y data) output for use in the board fabrication and assembly process. PCB offers high end features such as an autorouter and trace optimizer which can tremendously reduce layout time. - -WWW: http://pcb.geda-project.org/ diff --git a/cad/pdnmesh/pkg-descr b/cad/pdnmesh/pkg-descr index 6abd3d2c987e..8222ab3a7543 100644 --- a/cad/pdnmesh/pkg-descr +++ b/cad/pdnmesh/pkg-descr @@ -20,5 +20,3 @@ The features of the program are as follows. * Generating a data file of the mesh to be used by other solvers. * Can import DXF files generated by CAD programs. * GUI is available with glut and GTK/GTKGLExt. Needs OpenGL. - -WWW: http://pdnmesh.sourceforge.net/ diff --git a/cad/py-cadquery/pkg-descr b/cad/py-cadquery/pkg-descr index 46a58948d553..553a758a499c 100644 --- a/cad/py-cadquery/pkg-descr +++ b/cad/py-cadquery/pkg-descr @@ -2,5 +2,3 @@ CadQuery is an intuitive, easy-to-use Python module for building parametric 3D CAD models. Using CadQuery, you can write short, simple scripts that produce high quality CAD models. It is easy to make many different objects using a single script that can be customized. - -WWW: https://github.com/CadQuery/cadquery diff --git a/cad/py-cq-editor/pkg-descr b/cad/py-cq-editor/pkg-descr index b5ab2d0e6eaa..2c174ca9146a 100644 --- a/cad/py-cq-editor/pkg-descr +++ b/cad/py-cq-editor/pkg-descr @@ -10,5 +10,3 @@ CadQuery object stack inspector Export to various formats - STL - STEP - -WWW: https://github.com/CadQuery/CQ-editor/ diff --git a/cad/py-ezdxf/pkg-descr b/cad/py-ezdxf/pkg-descr index 5d224993b094..e7448f3d153b 100644 --- a/cad/py-ezdxf/pkg-descr +++ b/cad/py-ezdxf/pkg-descr @@ -3,5 +3,3 @@ version. You can open/save every DXF file without losing any content (except comments), Unknown tags in the DXF file will be ignored but preserved for saving. With this behavior it is possible to open also DXF drawings that contains data from 3rd party applications. - -WWW: https://ezdxf.mozman.at/ diff --git a/cad/py-gdspy/pkg-descr b/cad/py-gdspy/pkg-descr index 4b1679e0e603..8148133ab6cd 100644 --- a/cad/py-gdspy/pkg-descr +++ b/cad/py-gdspy/pkg-descr @@ -1,5 +1,3 @@ Gdspy is a Python module for creating GDSII stream files, usually CAD layouts. It includes a layout viewer for the geometries created, and it can also be used to import and merge GDSII files. - -WWW: https://github.com/heitzmann/gdspy diff --git a/cad/py-lcapy/pkg-descr b/cad/py-lcapy/pkg-descr index 21b41d942797..a3d369f47943 100644 --- a/cad/py-lcapy/pkg-descr +++ b/cad/py-lcapy/pkg-descr @@ -6,5 +6,3 @@ nodal analysis. Alternatively, Lcapy can analyse networks and circuits formed by combining one, two, and (some) three port networks. - -WWW: https://github.com/mph-/lcapy diff --git a/cad/py-ocp/pkg-descr b/cad/py-ocp/pkg-descr index ce5a6b146d1a..8ab66bbd8d9e 100644 --- a/cad/py-ocp/pkg-descr +++ b/cad/py-ocp/pkg-descr @@ -1,4 +1,2 @@ OCP is a Python wrapper for OCCT (OpenCascade) generated using pywrap primarily used by CadQuery. - -WWW: https://github.com/CadQuery/OCP diff --git a/cad/py-phidl/pkg-descr b/cad/py-phidl/pkg-descr index 0443e5dc6bcd..97ccb63ae496 100644 --- a/cad/py-phidl/pkg-descr +++ b/cad/py-phidl/pkg-descr @@ -9,5 +9,3 @@ quick-plotting function based on Qt (or matplotlib) which allows you view the state of any GDS object, useful when scripting geometry-making functions. It also has a very thorough tutorial as well which will walk you through the process of getting acquainted with PHIDL. - -WWW: https://github.com/amccaugh/phidl diff --git a/cad/py-pyfda/pkg-descr b/cad/py-pyfda/pkg-descr index ab2de6ba86b9..58dfd64391d3 100644 --- a/cad/py-pyfda/pkg-descr +++ b/cad/py-pyfda/pkg-descr @@ -5,5 +5,3 @@ designed and quantized filters will be added in the next release. Since the digital filter design is a research area with many unanswered questions, this project is also a research project. Please expect freezes in case of some parameter values. - -WWW: https://github.com/chipmuenk/pyFDA diff --git a/cad/py-pymtl/pkg-descr b/cad/py-pymtl/pkg-descr index 4258850e6075..9d4a0df5a03e 100644 --- a/cad/py-pymtl/pkg-descr +++ b/cad/py-pymtl/pkg-descr @@ -1,4 +1,2 @@ Pymtl 3 (Mamba) is an open-source Python-based hardware generation, simulation, and verification framework. - -WWW: https://github.com/pymtl/pymtl3 diff --git a/cad/python-gdsii/pkg-descr b/cad/python-gdsii/pkg-descr index 481fd1b7d104..2cf3075b321f 100644 --- a/cad/python-gdsii/pkg-descr +++ b/cad/python-gdsii/pkg-descr @@ -1,5 +1,3 @@ python-gdsii is a library that can be used to read, create, modify and save GDSII files. It supports both low-level record I/O and high level interface to GDSII libraries (databases), structures, and elements. - -WWW: https://pythonhosted.org/python-gdsii/ diff --git a/cad/qcad/pkg-descr b/cad/qcad/pkg-descr index 0957693306eb..77166942fd7e 100644 --- a/cad/qcad/pkg-descr +++ b/cad/qcad/pkg-descr @@ -12,5 +12,3 @@ What is QCad not? the objects in the drawing with the mouse. If you find any bugs, send a report to bugs@qcad.org. - -WWW: http://www.ribbonsoft.com/qcad.html diff --git a/cad/qcsxcad/pkg-descr b/cad/qcsxcad/pkg-descr index 46d456de01e1..26bef40ae716 100644 --- a/cad/qcsxcad/pkg-descr +++ b/cad/qcsxcad/pkg-descr @@ -1,4 +1,2 @@ QCSXCAD the Qt-GUI for CSXCAD, a C++ library to describe geometrical objects and their physical or non-physical properties. - -WWW: https://github.com/thliebig/QCSXCAD diff --git a/cad/qelectrotech/pkg-descr b/cad/qelectrotech/pkg-descr index 950fca987525..5a237fe71e91 100644 --- a/cad/qelectrotech/pkg-descr +++ b/cad/qelectrotech/pkg-descr @@ -1,5 +1,3 @@ QElectroTech is a Qt4 application to design electric diagrams. It uses XML files for elements and diagrams, and includes both a diagram editor and an element editor. - -WWW: http://qelectrotech.org/ diff --git a/cad/qflow/pkg-descr b/cad/qflow/pkg-descr index f16d3ce81d8b..a655ef084658 100644 --- a/cad/qflow/pkg-descr +++ b/cad/qflow/pkg-descr @@ -7,5 +7,3 @@ synthesis flows targeting FPGAs are available, usually from the FPGA manufacturers, and while they are typically not open source, they are generally distributed for free (presumably on the sensible assumption that more people will be buying more FPGA hardware). - -WWW: http://opencircuitdesign.com/qflow/ diff --git a/cad/qrouter/pkg-descr b/cad/qrouter/pkg-descr index 8b3ed5d1895a..c41f72334b8b 100644 --- a/cad/qrouter/pkg-descr +++ b/cad/qrouter/pkg-descr @@ -4,5 +4,3 @@ otherwise known as an "over-the-cell" router or "sea-of-gates" router. That is, unlike a channel router, it begins with a description of placed standard cells, usually packed together at minimum spacing, and places metal routes over the standard cells. - -WWW: http://opencircuitdesign.com/netgen/ diff --git a/cad/qucs-s/pkg-descr b/cad/qucs-s/pkg-descr index c8e806d7ad57..6d3110c8ae09 100644 --- a/cad/qucs-s/pkg-descr +++ b/cad/qucs-s/pkg-descr @@ -17,5 +17,3 @@ Backends: * SpiceOpus is developed by the Faculty of Electrical Engineering of the Ljubljana University. It based on the SPICE-3f5 code. * Qucsator as backward compatible. - -WWW: https://ra3xdh.github.io/ diff --git a/cad/qucsator/pkg-descr b/cad/qucsator/pkg-descr index ba3473791943..42bb0432b319 100644 --- a/cad/qucsator/pkg-descr +++ b/cad/qucsator/pkg-descr @@ -2,5 +2,3 @@ Qucsator is a command line driven circuit simulator. It takes a network list in a certain format as input and outputs a Qucs dataset. It has been programmed for usage in the Qucs project but can also be used by other applications. - -WWW: https://github.com/Qucs/qucsator diff --git a/cad/repsnapper/pkg-descr b/cad/repsnapper/pkg-descr index 910c654cfeef..33dd7b4500c4 100644 --- a/cad/repsnapper/pkg-descr +++ b/cad/repsnapper/pkg-descr @@ -1,5 +1,3 @@ RepSnapper is a host software for controlling a RepRap 3D printer. It has a 3D OpenGL interface, slices objects and calculates the extrusion toolpath. It can manipulate 3D objects and save constellations in STL and AMF format. - -WWW: http://reprap.org/wiki/RepSnapper_Manual:Introduction diff --git a/cad/rubygem-gdsii/pkg-descr b/cad/rubygem-gdsii/pkg-descr index 9b037aa5c4d4..ba97fd684907 100644 --- a/cad/rubygem-gdsii/pkg-descr +++ b/cad/rubygem-gdsii/pkg-descr @@ -1,4 +1,2 @@ The GDSII Ruby Library provides an easy-to-use interface using Ruby to reading and writing GDSII files. - -WWW: http://rubyforge.org/projects/gdsii/ diff --git a/cad/scotch/pkg-descr b/cad/scotch/pkg-descr index d80e828f5920..757408d61958 100644 --- a/cad/scotch/pkg-descr +++ b/cad/scotch/pkg-descr @@ -9,5 +9,3 @@ structural mechanics to operating systems or bio-chemistry. The SCOTCH distribution is a set of programs and libraries which implement the static mapping and sparse matrix reordering algorithms developed within the SCOTCH project. - -WWW: http://www.labri.fr/perso/pelegrin/scotch/ diff --git a/cad/solvespace/pkg-descr b/cad/solvespace/pkg-descr index 9dc762e8462d..aa53c7f56a6c 100644 --- a/cad/solvespace/pkg-descr +++ b/cad/solvespace/pkg-descr @@ -1,5 +1,3 @@ SOLVESPACE is a parametric 3d CAD program. Applications include modeling 2d and 3d parts, 3d-printed parts, preparing CAM data, mechanism design and plane and solid geometry. - -WWW: https://solvespace.com/ diff --git a/cad/sp2sp/pkg-descr b/cad/sp2sp/pkg-descr index 077e68170126..60c8236b768f 100644 --- a/cad/sp2sp/pkg-descr +++ b/cad/sp2sp/pkg-descr @@ -6,5 +6,3 @@ layer into one of several ASCII printable formats, and it is useful for converting files any of the binary formats into a printable form, where they can easily be processed by awk, perl, or some other scripting language. - -WWW: http://gwave.sourceforge.net/ diff --git a/cad/spice/pkg-descr b/cad/spice/pkg-descr index 582c9e23f8f8..b864fa63485f 100644 --- a/cad/spice/pkg-descr +++ b/cad/spice/pkg-descr @@ -10,5 +10,3 @@ Patch Levels I and II (including a new spec command for spectral analysis) and the level 2 JFET model are courtesy from the Macquarie University. Online documentation at: - -WWW: http://bwrc.eecs.berkeley.edu/Classes/IcBook/SPICE/ diff --git a/cad/stepcode/pkg-descr b/cad/stepcode/pkg-descr index 3e7606bab56c..93221067df59 100644 --- a/cad/stepcode/pkg-descr +++ b/cad/stepcode/pkg-descr @@ -12,5 +12,3 @@ STEPcode (SC) includes the class libraries, some of the most widely used EXPRESS schemas, some tools to work with EXPRESS, and support libraries for those tools. Two of the tools can create schema-specific libraries that are used with the class libraries. There are also some test files and programs. - -WWW: https://github.com/stepcode/stepcode/wiki diff --git a/cad/stm32flash/pkg-descr b/cad/stm32flash/pkg-descr index 5e24060202ae..09a594ed72e3 100644 --- a/cad/stm32flash/pkg-descr +++ b/cad/stm32flash/pkg-descr @@ -1,4 +1,2 @@ Open source cross platform flash program for the STM32 ARM microcontrollers using the built-in ST serial bootloader over UART or I2C. - -WWW: https://sourceforge.net/projects/stm32flash/ diff --git a/cad/sumo/pkg-descr b/cad/sumo/pkg-descr index 3a4f0f5dd4ca..c15934fbb20a 100644 --- a/cad/sumo/pkg-descr +++ b/cad/sumo/pkg-descr @@ -1,5 +1,3 @@ Simulation of Urban MObility (SUMO) is an open source, highly portable, microscopic and continuous road traffic simulation package designed to handle large road networks. - -WWW: http://sumo-sim.org diff --git a/cad/surelog/pkg-descr b/cad/surelog/pkg-descr index 49e4c789698f..ae6b82907adf 100644 --- a/cad/surelog/pkg-descr +++ b/cad/surelog/pkg-descr @@ -1,4 +1,2 @@ SystemVerilog 2017 Pre-processor, Parser, Elaborator, UHDM Compiler. Provides IEEE Design/TB C/C++ VPI and Python AST API. - -WWW: https://github.com/chipsalliance/Surelog diff --git a/cad/sweethome3d/pkg-descr b/cad/sweethome3d/pkg-descr index 164be597b9c0..cc9aa8686ceb 100644 --- a/cad/sweethome3d/pkg-descr +++ b/cad/sweethome3d/pkg-descr @@ -1,5 +1,3 @@ Sweet Home 3D is a free, cross-platform (written in Java) interior design application that helps you draw the plan of your house, arrange furniture on it, and visit the results in 3D. - -WWW: https://www.sweethome3d.com/ diff --git a/cad/tkgate/pkg-descr b/cad/tkgate/pkg-descr index a213e8745440..0f0fbbb9f561 100644 --- a/cad/tkgate/pkg-descr +++ b/cad/tkgate/pkg-descr @@ -3,5 +3,3 @@ graphical editor. TkGate supports a wide range of primitive circuit elements as well as user-defined modules for hierarchical design. The distribution comes with a number of tutorial and example circuits. - -WWW: http://tkgate.org/ diff --git a/cad/tochnog/pkg-descr b/cad/tochnog/pkg-descr index b7c176a0b5e6..a4810f374fca 100644 --- a/cad/tochnog/pkg-descr +++ b/cad/tochnog/pkg-descr @@ -11,5 +11,3 @@ be printed or plotted using gnuplot/plotmtv, CalculiX or gmsh. TOCHNOG supports a choice of description frames including Lagrangian, Eulerian and arbitrary Eulerian-Lagrangian (AEL). - -WWW: http://tochnog.sourceforge.net/ diff --git a/cad/uhdm/pkg-descr b/cad/uhdm/pkg-descr index e6e67ad07459..db7c8971ad56 100644 --- a/cad/uhdm/pkg-descr +++ b/cad/uhdm/pkg-descr @@ -1,5 +1,3 @@ Universal Hardware Data Model. A complete modeling of the IEEE SystemVerilog Object Model with VPI Interface, Elaborator, Serialization, Visitor and Listener. Used as a compiled interchange format in between SystemVerilog tools. - -WWW: https://github.com/chipsalliance/UHDM diff --git a/cad/uranium/pkg-descr b/cad/uranium/pkg-descr index 4f9a7c984aee..571eebb5e502 100644 --- a/cad/uranium/pkg-descr +++ b/cad/uranium/pkg-descr @@ -2,5 +2,3 @@ The Uranium framework consists of several parts, divided over several modules. These modules are Core, Backend, Math, Mesh, Scene, Settings and View. In addition, there are two toolkit-specific modules that implement a lot of UI bits for the applications. - -WWW: https://github.com/Ultimaker/Uranium/blob/master/docs/overview.md diff --git a/cad/verilator/pkg-descr b/cad/verilator/pkg-descr index 9bb2da8ebae0..14cd33b0bee9 100644 --- a/cad/verilator/pkg-descr +++ b/cad/verilator/pkg-descr @@ -4,5 +4,3 @@ PSL, SystemVerilog and Synthesis assertions into C++ or SystemC code. It is designed for large projects where fast simulation performance is of primary concern, and is especially well suited to generate executable models of CPUs for embedded software design teams. - -WWW: https://www.veripool.org/projects/verilator/wiki/Intro diff --git a/cad/verilog-mode.el/pkg-descr b/cad/verilog-mode.el/pkg-descr index 77062c89de70..3907b48a2258 100644 --- a/cad/verilog-mode.el/pkg-descr +++ b/cad/verilog-mode.el/pkg-descr @@ -5,5 +5,3 @@ greatly reduce Verilog coding time. Recent versions allow you to insert AUTOS in non-AUTO designs, so IP interconnect can be easily modified. You can also expand Verilog-2001 ".*" instantiations, to see what ports will be connected by simulators. - -WWW: https://www.veripool.org/wiki/verilog-mode diff --git a/cad/veroroute/pkg-descr b/cad/veroroute/pkg-descr index 942bb784d0df..c67c5375988e 100644 --- a/cad/veroroute/pkg-descr +++ b/cad/veroroute/pkg-descr @@ -1,5 +1,3 @@ Cross-platform software for producing Veroboard (stripboard), Perfboard, and single-sided PCB layouts. Automatically prevents short circuits and checks for open circuits. - -WWW: https://sourceforge.net/projects/veroroute/ diff --git a/cad/xcircuit/pkg-descr b/cad/xcircuit/pkg-descr index 49fb4af26479..87dbb3ca6989 100644 --- a/cad/xcircuit/pkg-descr +++ b/cad/xcircuit/pkg-descr @@ -1,3 +1 @@ xcircuit is software for drawing electrical circuits. - -WWW: http://opencircuitdesign.com/xcircuit/ diff --git a/cad/xyce/pkg-descr b/cad/xyce/pkg-descr index 937e802a4943..d7c0dd68e179 100644 --- a/cad/xyce/pkg-descr +++ b/cad/xyce/pkg-descr @@ -6,5 +6,3 @@ common desktop platforms, and small-scale parallel runs on Unix-like systems. In addition to analog electronic simulation, Xyce has also been used to investigate more general network systems, such as neural networks and power grids. Read more about Xyce. - -WWW: https://xyce.sandia.gov/ diff --git a/cad/yosys/pkg-descr b/cad/yosys/pkg-descr index 74658b809b82..dada5d378b42 100644 --- a/cad/yosys/pkg-descr +++ b/cad/yosys/pkg-descr @@ -1,5 +1,3 @@ Yosys is a framework for Verilog RTL synthesis. It currently has extensive Verilog-2005 support and provides a basic set of synthesis algorithms for various application domains. - -WWW: https://yosyshq.net/yosys/ diff --git a/cad/z88/pkg-descr b/cad/z88/pkg-descr index a21a6c40a704..13c9d924958d 100644 --- a/cad/z88/pkg-descr +++ b/cad/z88/pkg-descr @@ -17,5 +17,3 @@ FEATURES # For large structures the new iteration solver is recommended. # The import of COSMOS and NASTRAN files from Pro/ENGINEER (with option Pro/MECHANICA) is possible. - -WWW: https://en.z88.de/ |